dake8807的个人空间 https://blog.eetop.cn/424156 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

【转载】使用compxlib命令编译Xilinx的ModelSim仿真库

已有 2647 次阅读| 2010-4-5 19:25

本文转载自网络,原文网址:http://blog.sina.com.cn/s/blog_61107c450100e7mw.html

使用compxlib命令编译Xilinx的ModelSim仿真库
1. 先说简单的方法, 在命令行下输入compxlibgui 会出现编译库的图形界面,一步步点下去就行。(需要先安装ISE)

注意:此方法会在命令行的当前目录下生成modelsim.ini 编译完之后需要用它替换或将其中库路径的信息拷贝到真正安装modelsim的第一级目录下的modelsim.ini文件。

2. 比较麻烦的方法:

Xilinx提供的HDL仿真模型位于ISE安装路径下的verilog\src目录(对应Verilog仿真模型)和vhdl\src目录(对应VHDL仿真模型)下。在编译Xilinx仿真模型的时候,我们可以使用多种方法,例如直接使用ModelSim的vlib、vmap、vlog等命令进行编译、使用ISE集成开发环境进行编译等。本文描述的方法是采用ISE 7.1i自带的compxlib命令在命令行下进行编译,这种方法不需要我们手动干预而且最为简单。

在使用compxlib命令之前,我们首先需要将modelsim.ini文件的只读属性去掉,使其为可写的。这样做的目的是使compxlib命令能够修改modelsim.ini文件以便在库的逻辑名字和库的实际存放路径之间建立一个永久的映射关系。modelsim.ini文件位于ModelSim安装路径下,缺省情况下它是只读的。modelsim.ini文件存放了ModelSim的基本配置,关于它的具体用法本文不再详述,我们会在后续的文章中讲述modelsim.ini文件的使用,读者也可以直接参考ModelSim的使用指南。

在介绍compxlib命令的详细语法之前,我们先通过一个实际使用的例子对compxlib命令的用法做一个简要的说明。在这个例子中,我们的使用的仿真器是ModelSim SE,并且为Xilinx的所有器件族产生Verilog和VHDL仿真库。在Windows的DOS命令行中,输入如下的命令(其中的“D:\EDASoft\Modeltech_6.1a\xilinx_lib”使用您自己的实际路径代替)。

compxlib -s mti_se -arch all -l all -dir D:\EDASoft\Modeltech_6.1a\xilinx_lib -w
 
当这一命令执行完成后,Xilinx器件的ModelSim SE仿真库便生成了,编译后的仿真库位于“D:\EDASoft\Modeltech_6.1a\xilinx_lib”目录下。而且这个命令自动修改了modelsim.ini文件,将仿真库的逻辑名字与它的实际存放路径作了永久的映射。现在运行ModelSim,如果已经自动打开了以前的工程则将这个工程关闭,我们可以看到,所有的Xilinx仿真库都出现了!


笔者用此方法编译modelsim6.5 和 ise11.1


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 218

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 09:26 , Processed in 0.022193 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部