e_er的个人空间 https://blog.eetop.cn/42169 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

xilinx器件寄存器初始值设计

已有 2982 次阅读| 2014-3-18 21:47 |个人分类:设计

xilinx器件,开发代码中的变量初始值怎么确定的?

首先初始值,有两种,一是configuration初始值;一是reset初始值。前者是fpga加载后的初始值;后者是复位后的初始值。

初始值如何定义。如果没有显性的定义,加载后的初始值,会根据代码中编写代码的复位初值用作configuration初值。如果需要显性的定义,有两种方式,1.显性的定义,则在定义变量时,在变量名后直接赋值,该值就是configuration的值。2.以vivado工具为例,在综合后的netlist窗口中的cells,找到所要初始化的变量,然后在其properties窗口中,找到INIT属性,该属性既是其configuration的值,可以在该窗口中手动修改,修改好后重新生成bin文件就设置成功。其中也能查看reset值,在netlist窗口的cells名后小括号中会先是FDPE/FPCE或FDSE/FDRE,前一组表示是异步设计的置位(1)与复位(0);后一组为同步设计的置位与复位。


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 1

    获赞
  • 0

    评论
  • 328

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 05:27 , Processed in 0.014845 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部