warshining的个人空间 https://blog.eetop.cn/warshining [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

RHEL4安装dc_200809

已有 3701 次阅读| 2011-1-7 21:35 |个人分类:EDA软件安装

虚拟机:VMware-workstation-6.5.0-118166

工具包:VMware Tools 6.0(工具包的安装为小红帽下eda工具的安装提供了极大的方便,无论如何,一定要过这关)

系统:RHEL4-i386-AS 内核版本2.6.9

EDA工具:【Design_compiler_2008.09

辅助软件:【scl_v10.9.3  installer_v2.0

Synopsys SSS Feature Keygen EFA LicGen 0.4b

##############################################################

1.开始安装

root下安装的(su命令),下面是我的目录结构,仅供查考

/home/eda/synopsys/     |---installer

                        |---scl

                        |---scl_v10.9.3_commom.tar

                        |---scl_v10.g.3.linux.tar

                        |---license

                        |---dc_200809

                        |---Design_Compiler_2008.09_linux

                        |---Design_Compiler_2008.09_common

##############################################################

2.安装installer  installer_v2.0

/home/eda/synopsys/installer_v2.0

  installer2.0 解压到installer 文件夹即可(可在win下解压直接拖过去)

  %chmod -R 755 ....installer_v2.0

    #For the C Shell:

    #% set path=(/home/eda/synopsys/installer $path)

  For the Bourne or Bash shell:(我的是Bashshell)

  $ PATH=/home/eda/synopsys/installer:$PATH

  $ export PATH

Invoke the installer by using one of the following commands:

[root@localhost martellfish] # cd /home/eda/synopsys/installer

[root@localhost installer]# installer -gui (or setup.sh)   #To use a GUI

##############################################################

3.安装scl  scl_v10.9.3

  出现安装界面,点击startàsource选中scl解压所在的文件夹【/home/eda/synopsys/ scl_v10.g.3.linux】。

然后一直next,过程中有些选项不需要管,也不需要填写。

直到选中安装路径【/home/eda/synopsys/ scl】。

软件包安装完成,点击finish,另外出现的对话框点dismiss就可以。

同样把scl_common文件安装到scl下。

##############################################################4.dc安装  Design_compiler_2008.09

  同样的方法可以安装common包和linux包,先安装linux。安装前一定要解压缩,解压缩文件放在【/synopsys/Design_Compiler_2008.09_linuxcommon】(这两个解压缩文件也是直接在win下面解压,直接拖到RHEL4下的。

Source对话框选中解压包后,Done, 然后一路next,可能会出现替代呀,没有平台呀什么问题的对话框,不管它,只选能继续安装的。但是安装目录一定要安装在一起!即都安装在/home/eda/synopsys/dc_200809

##############################################################################

5.license制作和启动配置文件  Synopsys SSS Feature Keygen】【EFA LicGen 0.4b

 首先我们打开EFA LicGen 0.4b文件夹,运行license产生器【LicGen.exe

点击Open,打开packs中的synnopsys.lpd文件。

 

制作license需要机器的MAC地址

Linux下查看MAC的办法:

1>在终端中运行/sbin/ifconfig –a

Hwaddr后面就是虚拟机的MAC

000c29566ad1(我的MAC地址,注意数字之间没有连字符也没有“:”否则会出错,本人在这曾被困好久)填入Custom栏中,上下两个都要填。

然后生成license,点击generate

 

这样就产生了初步的license(其实名字是synopsys.dat),我们save到【Synopsys SSS Feature Keygen】文件下,保存为synopsys.dat

 

这一步我们就要用到KeyGen产生feature sss

运行KeyGen之前我们要利用sssverify得到secret date信息。

》运行CMD进入dos环境,进入sssverify所在的目录(cd命令)。

》进入后,键入sssverify synopsys.dat,enter键,如果 前面制作的synopsys.dat没问题的话,可以执行并得到一串secret date

secret date=034f 0000 4db0 0000 2fc0

》利用KeyGen产生feature sss的信息,运行【KeyGen.exe】在secret date栏中,填入secret date码。Hostid中填入刚才得到的MAC地址。

 

》用记事本打开“synopsys.dat”,将第一行修改为:SERVER 主机名 MAC地址27000。其中主机名是Linux系统下的主机名,可在Linux的终端中用“uname -a”命令查看,一般情况下就是@后面的名字;MAC地址就是网卡地址,后面的27000是默认需要的。

点击generate,会在此文件夹下,生成一个license.dat的文件。

》并修改“synopsys.dat”第二行。如下:

DAEMON snpslmd  /home/eda/synopsys/scl/linux/bin/snpslmd

也就是Linuxsnpslmd的路径(就是我们刚才安装的scl文件夹的子文件夹下)

打开license.dat,将FEATURE SSS之后的所有行复制到第一部生成的synopsys.dat文件中,并把synopsys.dat中原有的FEATURE SSS全部删除。

》到此为止,synopsys.dat就制作成功了。

 

下面就是我最终的license,将它放到/…/synopsys/license/目录下。

SERVER localhost.localdomain 000C29566AD1 27000

DAEMON snpslmd  /home/eda/synopsys/scl/linux/bin/snpslmd

#

 FEATURE SSS snpslmd 1.0 31-dec-2020 uncounted 0D6E6017E34F058CA287 \

      VENDOR_STRING="69656 d1d88 34cc3 36d92 e9f0a 04587 634bd 6366c \

   96b0f e71" HOSTID=000c29566ad1 ISSUER="Team ZWT 2006" \

   NOTICE="Licensed to mammoth//ZWT 2006 [PLEASE DO NOT DELETE THIS \

    SSS KEY]" SN=RK:1978-0:001224:0 START=1-jan-2006

 

FEATURE nanochar snpslmd 2020.12 31-dec-2020 uncounted 8DEE50A7B8B9440F4150 \

       VENDOR_STRING=^1+S&PID=985f0fcc& HOSTID=000c29566ad1 SUPERSEDE ISSUED=02-dec-2003 ck=45 \

       SN=RK:2671-0:167603:0 START=1-jan-2006

FEATURE hspice_pack snpslmd 2020.12 31-dec-2020 uncounted BD3EC0377983D7485DBD \

       VENDOR_STRING=^1+S&PID=985f0fcc& HOSTID=000c29566ad1 SUPERSEDE I

……………………(以下省略若干)

##############################################################

6.配置文件

打开用户目录下的.bashrc文件(.bashrc是个隐藏文件,打开用户目录后按ctrl+h就能显示隐藏文件了),在末尾加上如下内容:(请结合自己安装路径修改下面的内容)

也可以这样打开:

(1)    cd ~   (进入[root@localhost ~]#)

(2)     vi .bashrc

在文本的后半部分添加如下内容,相关的路径需要结合自己的情况进行更改。

################
# With Daemon  #
# RHEL4 Linux  #
################
#Design Compiler
export PATH=/eda/synopsys/dc200809/bin:$PATH
#lmgrd
export PATH=/eda/synopsys/scl/linux/bin:$PATH
#start synopsys license using lmgrd
alias lmli2="lmgrd -c /eda/synopsys/license/synopsys.dat -l ~/syn_lic.log"
export SYNOPSYS=/eda/synopsys/dc200809
export SNPSLMD_LICENSE_FILE=27000@redhat
alias dc="dc_shell"
alias dv="design_vision"

##############################################################

7.重启后就可以运行了。

首先在终端输入lmli2,回车。然后输入dv,就可以启动design compiler了。

 

 

大功告成,哈哈。搜索软件是一个枯燥的事情,我在eetop上花信元如流水般的下载了几个版本的安装工具,看到网上很多评论说不能用, 当时心就凉了半截。不过最后,还是通过比较,选择了上面那些,这些在我这里都能用,进行了简单的综合没问题。

最后安装软件花费了一上午一下午的时间,还算欣慰,安装过程网友们总结了不少,但可能或多或少都有点问题,也可能不适合我这安装环境,因此,本人根据他们的原创,修改了一份,以谢众多网友。

晚上7:00,吃饭去啦……


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 5

    粉丝
  • 0

    好友
  • 11

    获赞
  • 52

    评论
  • 3738

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 09:31 , Processed in 0.014878 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部