yelse的个人空间 https://blog.eetop.cn/38414 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

verilog matlab 数据传输

已有 1231 次阅读| 2011-11-7 20:49

在verilog中有$readmemh(“filename”, mem_name)命令,在使用这个命令时,”

filename”中的路径要用反斜杠'/',而不是斜杠'\',切记!

如$readmemh("F:/mydesigen/re_input.txt",re_input);上面的语句是正确的,而如果用斜杠

就有问题,如$readmemh("F:\mydesigen\re_input.txt",re_input)。

对于需要的txt文件,其格式为每行一个数据,例如用matlab产生文件则可以这样写

fid0 = fopen('F:\mydesigen\re_input.txt','w+');

fprintf(fid0,'%x \n',real(info));


点赞

全部作者的其他最新日志

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 2

    获赞
  • 2

    评论
  • 1534

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 00:14 , Processed in 0.012525 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部