cyflamingo的个人空间 https://blog.eetop.cn/cyflamingo [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

脚本运行modelsim(转载)

已有 3687 次阅读| 2009-6-20 19:12 |个人分类:modelsim

http://blog.ednchina.com/FreeEDA/146183/message.aspx,挺不错的,都是常用modelsim + verdi的使用,转载下,不过好像是windows下的脚本使用,windows下可以是用bat来管理vsim更快,进入modelsim后简单的直接do就可以

标签: 无标签

脚本方式运行Modelsim

modelsim 可以写成.tcl 文件,然后在modelsim中source即可。

***********************************************************************************************************
set path D:/Work/
cd $path/script
vlib work
vmap work work
source compile.tcl
vsim -coverage +notimingcheck +nospecify work.testbench                       
view source
view structure
view signals
run 100ns
stop
***********************************************************************************************************

compile.tcl:
***********************************************************************************************************
vlog -reportprogress 300 -work work {../../code/1.v} -cover bcesx
vlog -reportprogress 300 -work work {../../code/2.v} -cover bcesx

刚才在网上找到了解决办法,试了一下没有问题,有两种办法设置PLI
1. 把文件 C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll 复制到如路径下 C:\modelsim\win32\
然后在路径 C:\modelsim\ 下修改文件 modelsim.ini,  在如下语句:
; List of dynamically loaded objects for Verilog PLI applications
; Veriuser = veriuser.sl
后面加入语句  Veriuser = novas.dll
2. 不复制文件,直接在路径 C:\modelsim\ 下修改文件 modelsim.ini, 加入
Veriuser = C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll

以上所有路径均与安装路径有关,请注意!

dump 波形的方法就是在testbench里加入如下语句,设置好文件名,dump的深度,top module,然后使用modelsim跑起来即可

initial
begin
$fsdbDumpfile("1.fsdb");
$fsdbDumpvars(0, testbench);
end



点赞

发表评论 评论 (1 个评论)

回复 yyxl 2009-12-5 13:28
:victory: :victory: :victory: :victory:

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 5

    评论
  • 347

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 03:39 , Processed in 0.022591 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部