在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 用Makefile实现并行任务分发(转)
litterstrong 2013-5-28 09:40
看到关于Makefile的必要性讨论,忽然想起自己干过一些有趣的的事情。 一个目录下有一大堆同质或不同质的程序,要测试系统处理并发任务的效率不得不写一个任务分发器(work load manager),用C写对新手来说还是有点大条的,利用make -j可以模拟一个简单的wlm。代码大概如下: binaries := $(foreach ccode, \ ...
2430 次阅读|1 个评论
分享 【转】SPI总线协议及SPI时序图详解
litterstrong 2013-5-21 09:00
SPI总线协议及SPI时序图详解【转】 SPI,是英语Serial Peripheral Interface的缩写,顾名思义就是串行外围设备接口。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,正是出于这种简单易用的特性,现在越来越多的芯片集成了 ...
3857 次阅读|1 个评论
分享 NC 知识点
litterstrong 2013-5-3 15:11
Ncverilog使用 注:在NC自带的帮助Cadence NC-Verilog Simulator Help中都可以找到。 1. 软件说明 ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核; ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和 ...
3447 次阅读|0 个评论
分享 处女项目后关于IC验证经验的总结(转)
litterstrong 2013-5-3 13:54
完整的、详细的设计规范是验证工作的重要起点。 验证工作根据设计规范(specification)进行,详细的spec是RTL代码编写工作的依据,也是验证工作的依据。当验证过程发现DUT的响应与testbench预计的不符时,需要根据spec判断是DUT出现错误还是testbench出现错误。 参数化的全局定义 全局定义会给书写和 ...
693 次阅读|0 个评论
分享 毕业后的五年拉开大家差距的原因(转载)
litterstrong 2013-5-3 10:35
有人工作,有人继续上学,大家千万不要错过这篇文章,能看到这篇文章也是一种幸运,真的受益匪浅,对我有很大启迪,这篇文章将会改变我的一生,真的太好了,希望与有缘人分享,也希望对有缘人有所帮助!看完之后有种“相见恨晚”的感觉,特别激动,希望大家好好的珍藏这篇文章,相信多年以后,再来看这篇文章,一定有不同 ...
1155 次阅读|3 个评论
分享 如何Dump波形文件并显示波形(转)
litterstrong 2013-5-3 09:53
如何Dump波形文件并显示波形 常用的波形文件有如下三种, 1、trn文件,Cadence simvision支持,通过如下方式产生 $shm_open(“./wave”) ; //产生你所需要dump波形的文件夹 $shm_probe(bench_top,”AS”); //bench_top为举例,即你所需要dump的层 $shm_close; 2、fsdb文件,debussy/verdi支持,通 ...
3875 次阅读|0 个评论
分享 [转载]各种波形文件的区别、生成方法wlf/vcd/fsdb/shm/vpd
litterstrong 2013-5-3 09:49
原文地址: 各种波形文件的区别、生成方法wlf/vcd/fsdb/shm/vpd 作者: followheart 仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究。说一下几种波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd: 对于 ...
3666 次阅读|0 个评论
1234
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 20:13 , Processed in 0.016418 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部