handsomexk的个人空间 https://blog.eetop.cn/269296 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

ncverilog后仿遇到X状态

热度 1已有 11623 次阅读| 2011-11-23 23:10 |个人分类:ncverilog

(天天学习,好好向上)

在verilog RTL综合成电路网表,在自动布局布线后,要进行后仿,需要在testbench中加入$sdf_annotate("*.sdf",例化名);在仿真时 要加入testbench以及仿真库。使用命令:ncverilog +access+rwc  *.v  -v  library.v ,不过仿真时如果遇到violation情况,仿真就出现X状态,一旦出现,影响仿真结果。但有时violation又不重要,该怎么办?

 

主要因为库当中有一些检 查,width,setup,removal等等,一旦有violation,它就将notifier寄存器翻转,这样就出现X态,为了不让仿真中出现这 个情况,可以再仿真时加上 +no_notifier,这样仿真不会翻转notifier寄存器,也不会出现X态,不过会display出各种违例。在仿真时如果要仿真各种 corner延迟,可以加上+typdelays、+mindelays、+maxdelays进行仿真。


点赞

发表评论 评论 (1 个评论)

回复 Pori 2022-12-20 19:09
你好  我也遇到了同样的问题  不过是我是后仿时候读SRAM出了x态,所以我想问下你知道
后仿时候怎么初始化寄存器和sram吗  感谢

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 9

    粉丝
  • 0

    好友
  • 1

    获赞
  • 6

    评论
  • 846

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 11:51 , Processed in 0.015779 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部