yunqi415的个人空间 https://blog.eetop.cn/204892 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

使用In-System Sources&Probes进行调试

已有 2616 次阅读| 2011-8-30 00:45 |个人分类:FPGA技术库

使用In-System Sources&Probes进行调试

(来源:http://blog.ednchina.com/coyoo/247555/message.aspx)

之前写过如何使用QII中In-System Memory Content Editor,今天使用了一下In-System Sources&Probes,总结一下使用体会。

       顾名思义,在系统源和探测器主要包含两部分,一是驱动源,二是探测器。经由Jtag口该工具通过探测器(Probes)最多可以观察256个信号的状态, 通过源(source)可以最多驱动256个信号源。与SignalTapII或者ISMC一样可以在Instance Manger中例化多个Instance,根据器件的资源多寡,最多可以例化128个Instance。

       与SignalTapII一样,无需外部测试设备支持,连接Jtag即可,不过该工具同时支持FPGA和CPLD。使用In-System Sources& Probes可以进行设计的功能调试。

 

       应用场合:

      1、可以利用该工具输入虚拟的拨码、按钮等控制信号,甚至虚拟复位信号;当系统还不完整的时候利用该工具可以模拟众多的输入激励。

      2、监测设计更改后的变化结果。

      3、扩展了Tcl的某些应用,比如动态配置等。

      4、可以给SignalTapII强加某些Trigger。

 

      使用In-System Sources & Probes的步骤:

      1、首先利用MegaWizard创建In-System Sources & Probes Megafunction;

      2、在设计中例化并编译;

      3、下载到器件;

      4、创建并使用In-System Sources & Probes Editor(.spf文件)来控制“sources”和“Probes”。

下面图示各个步骤:

1、创建In-System Sources & Probes Megafunction

该兆核函数位于Jtag-accessible Extensions下

兆核函数的主要参数设置:

点击看大图

兆核函数高级选项设置:

点击看大图

2、在设计中例化,下面是一个实例:

component sources_probes
 port
 (
  probe : in  std_logic_vector (13 downto 0);
  source : out std_logic
 );
end component;

-------------------------------------------------------------------------
sources_probes_inst : sources_probes port map (
  probe  => one_seg_sig & ten_seg_sig,
  source  => source_sig_reset_n);

3、下载就不必介绍了

4、创建In-System Sources & Probes Editor

在Tools菜单下,和ISMC以及SiganlTapII的位置在一起,启动Editor如下所示:

点击看大图

下图是Instance Manager:

点击看大图

每个源可以通过鼠标单击改变,可以从0到1或者从1到0改变,如下图所示:


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 214

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 13:43 , Processed in 0.016135 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部