在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 python_learning
dkco 2023-4-11 10:33
设置python版本: method1: set python3 method2: set path = ( /xxx/xx_Tools/xxx_UTILITIES/python/Python-3.6.4 /xxx/xx_Tools/xxx_UTILITIES/python/Python-3.6.4/lib $path) 查看python版本: which python coding practice: #!/usr/bin/python import sys, re ...
个人分类: python|339 次阅读|0 个评论
分享 C leaning_day1
dkco 2023-3-17 10:05
输入EOF的方式: 在Linux系统下,利用快捷键 Ctrl + D ;在windows下用 Ctrl + Z ,然后在按下 Enter 就可以输入文件 结 束标志EOF了 函数 #include stdio.h int power(int m, int n); main() { int c; ...
216 次阅读|0 个评论
分享 Perl_basic
dkco 2023-3-3 15:01
1. 变量 my $fruit = apple; #my定义的是局部变量;字符串定义 $fruit =apple; #定义的是全局变量 my %hash = (); #hash变量声明 my $Name = ; #字符串变量声明 my @Steps = ();& ...
268 次阅读|0 个评论
分享 PERL_coding
dkco 2023-2-25 18:28
1. 一个perl 小脚本格式 #!/usr/bin/perl my $logFile = $ARGV ; #将ternimal 中第一个输入(不包括脚本名)赋值给变量$logFile my $outFile = $ARGV ; #将ternimal 中第二个输入赋值给变量$outFile,输入参数存在数组@ARGV中 if (@ARGV 2) { ...
149 次阅读|0 个评论
分享 Linux_常用命令
dkco 2023-2-21 15:48
1. terminal操作命令: CTRL +A 回到行首 CTRL +E 行尾 CTRL +D 退出终端 ...
354 次阅读|0 个评论 热度 2
分享 Linux__Shell进程
dkco 2023-2-21 15:17
1. Linux进程分类 前台进程:由终端或图形界面启动,需要用户来启动 后台进程:不需要任何用户输入,以系统级服务最多 将进程挂到后台运行:命令+ 2. Linux进程状态 Running Waiting Stopped Zombie:进程已终止,但进程表中该条目仍存在,直到父进程调用wait4()后释放 3. Linux进程操作命令 在ter ...
198 次阅读|0 个评论 热度 1
分享 python___TypeError: 'int' object is not callable
dkco 2023-2-15 09:44
变量名和函数名写重复了!都用的 custom 。 当这两个名称重复时,程序会默认调用 Int 型对象,但 Int 对象没有什么调用可言,就报出了这个错误,解决方法也很简单,要么更改变量名,要么更改方法名。
个人分类: python|133 次阅读|0 个评论
分享 数字电路基础-逻辑代数
dkco 2023-2-11 09:52
1. 逻辑代数三种基本运算 与、或、非 同或: A ⊙ B = AB+A'B' 异或: A ⊕ B = A'B+AB' 2. 逻辑代数基本公式 (AB)' = A'+B' ( 摩根定理) (A+B)' = ...
个人分类: 数字电路基础|118 次阅读|1 个评论 热度 1
分享 数字电路基础-数制和码制
dkco 2023-2-10 16:02
数制和码制 几种常用数制 二进制(0、1)、八进制(0、1)、十进制(0-9)、十六进制(0-9,A-F) 表示方法: D= ∑k i N i (N表示N进制) 2. 不同进制的转化 二进制-十进制 十进制-二进制:把十进制数除以2得到余数k 0 ,再将商接着除以2得到余数k 1 。。。 直到商为1 ...
个人分类: 数字电路基础|153 次阅读|0 个评论

本页有 1 篇日志因作者的隐私设置或未通过审核而隐藏

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 17:04 , Processed in 0.014518 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部