在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 SystemVerilog语言简介(一)
liuiang 2006-7-26 13:20
天气 : 阴雨 心情 : 高兴 http://www.pld.com.cn/HDL/systemverilog/1.htm SystemVerilog语言简介(一) 1. 接口(Interface) Verilog 模块之间的连接是通过模块端口进行的。为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。不幸的是,在设计的 ...
3997 次阅读|2 个评论
分享 全面支持SystemVerilog
liuiang 2006-7-26 13:17
天气 : 阴雨 心情 : 高兴 这些天打算开启一个项目,但总觉得用SystemC+Verilog不爽, 虽然c语言的代码已经完成,但架构整个验证环境仍然不是简单的拷贝粘贴, 当然我也承认确实有大部分代码可以重用。 前一阵子用SystemC构架testbench,用的也很不错,但还是始 ...
1055 次阅读|0 个评论
12
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 22:32 , Processed in 0.065400 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部