在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 简单的innovus proc脚本
sunhongwei 2023-8-30 14:01
409 次阅读|0 个评论
分享 学习sdc
sunhongwei 2023-7-26 16:28
set_false_path和set_disable_timing区别: 1. set_false_path有选择性地disables the arrival time information depending on优先的rules; 2. set_false_path不影响constant values; 3. set_disable_timing物理上剪掉一个timing arc,所以arrival times和constant values都不能穿过arc; 4. all cases可以应 ...
395 次阅读|0 个评论
分享 cts skew 做小的目的
sunhongwei 2023-3-21 14:13
我的理解:一个好的 clock tree ,max insertion delay 尽量小,common path尽量长,skew小。 大佬回答: 1、hold 在datapath上修hold,就只能一条一条插buffer,用更多的buffer。 在时钟树上修,可能在一个公共时钟节点插一个buffer,就可以同时修复好几条hold违例。但是动时钟树,只能ECO,要 ...
262 次阅读|0 个评论
分享 clk gating hold 违例
sunhongwei 2023-3-21 11:30
我的理解: flow 会把 c l k gating 看做sink点 去balence ,所以clk gating 后面的那段越长 hold vio 就越大了。 大佬的原话: 通常這個hold time violation會發生在gating cell的地方 clock gating一般為了預防glitch的發生會用一級DFF用負緣去latch住enable訊號,在用這個DFF的輸出 去和Clock ...
593 次阅读|0 个评论
分享 努力工作,努力生活
sunhongwei 2023-3-20 15:47
情商不高,不会说话,需要多锻炼
295 次阅读|0 个评论
12
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 15:58 , Processed in 0.009644 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部