在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 从参数、UVM、覆盖率、仿真来详解IP复用
路科验证 2016-9-11 16:50
半导体工艺技术和FPGA平台继续扩大了工程师可利用的晶体管和门的数目。而要利用这个增加的容量,而且为了及时争取市场窗口,要仍然跟上紧迫的项目时间表,在这种情况下,唯一方法是通过使用已有的IP。因此,IP复用对半导体工业的扩张至关重要。本文将从参数,UVM,覆盖及仿真方面介绍验证可复用的IP。 一、参数、UVM ...
个人分类: 验证前沿资讯|3962 次阅读|0 个评论 热度 1
分享 完成功能验证就足够了吗?
路科验证 2016-9-11 16:48
基于验证的复杂性和验证的目的意义,验证的完成结束有许多层意义。对于一个新的设计,验证工作的完成有两种基本的节点, 功能性验证完成和RTL验证完成,二者的不同在于一个完全着眼于功能属性,而另一个为确保设计的正确性加入了一些主观的RTL测试。 对于前者,功能性验证中,虽然工程师们使用了强大的验证语言和方法, ...
个人分类: 验证前沿资讯|1794 次阅读|0 个评论
分享 一种测试激励从IP到SoC的的复用方法
路科验证 2016-9-11 16:36
本篇文章主要讨论如何把IP模块层面的UVMsequence复用到主要用C实现测试激励的SoC级别去,继续发挥验证作用,主要通过以下几个方面来阐述: 实现复用主要面临的挑战分析 怎么实现C和UVM共存环境的搭建 如何实现寄存器测试激励的复用 如何实现中断测试激励的复用 一、面临挑战分析 我们主要解决的 ...
个人分类: 验证前沿资讯|1527 次阅读|0 个评论
分享 一种简易可行的复用RTL封装UVC的方法
路科验证 2016-9-11 16:28
随着IC设计规模和复杂度的增大,验证IP对于验证团队提高效率的意义越来越重要。在传统的基于HDL的验证平台中,验证工程师创建一个verilog写的BFM验证IP,来模仿一个特定的总线协议,并在传统的验证环境中集成 BFM ( BusFunctionalModel,总线功能模型 )。而近些年来,UVM得到很大发展,越来越多的验证环境被U ...
个人分类: 验证前沿资讯|3550 次阅读|0 个评论
分享 用于多核HDL仿真的自动分区技术
路科验证 2016-7-31 16:35
前端RTL验证和后端门级验证是集成电路设计流程的重要阶段。这些阶段甚至会占到整个IC设计周期的70%到80%。随着IC设计日益复杂化,HDL逻辑仿真更显得至关重要,并且为满足市场需求,我们需要验证仿真时间更短一些才好,基于此, 就开发出了多核HDL仿真器 。 但是多核仿真器也提出了一个新的问题,即要对哪些操作实 ...
个人分类: 验证前沿资讯|1100 次阅读|0 个评论
分享 基于快速启动软件驱动的硬件验证框架
路科验证 2016-7-31 16:32
软件驱动硬件验证在当今复杂的SoC开发中变得越来越重要,硬件验证效率已经从像UVM这样验证框架中受益匪浅,但是在嵌入式软件驱动测试中,还没有这样的框架来提高效率。本文介绍有SVF这样一个框架的好处,并提出了验证框架(针对软件驱动的硬件验证)的关键特性和基本组成。 一.软件驱动验证面临 ...
个人分类: 验证前沿资讯|957 次阅读|0 个评论
分享 uvm_config_db在UVM验证环境中的应用
路科验证 2016-7-31 16:25
如何在有效的使用uvm_config_db来搭建我们的uvm验证环境对于许多验证团队来说仍然是一个挑战,一些验证团队完全避免使用它,这样就不能够有效利用它带来的好处,另一些验证团队却过多的使用它,这让他们的验证环境变得不稳定,本篇论文,我们讨论如何简单有效平衡的在我们的验证环境中使用uvm_config_db,让它为我们的验证环境贡 ...
个人分类: 验证前沿资讯|5879 次阅读|0 个评论
分享 自动创建SOC测试平台
路科验证 2016-7-31 16:20
复用对于测试平台的开发和部署是非常重要的,我们经常谈论复用验证IP(简称VIP),然而,复用测试平台的结构同样很重要。手动构建测试平台是一个耗时和成本昂贵的过程,此外,它很难保证一致性。为了缓解这个问题,Cypress创建了一个测试平台自动生成器,并命名为TBGen。 TBGen实际上就是能自动生成测试平台(TB) ...
个人分类: 验证前沿资讯|2772 次阅读|0 个评论
分享 一种自动编写UVM testbench的方法
路科验证 2016-7-31 16:05
SystemVerilogUVM是一个以SystemVerilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次结构和接口的功能验证环境。UVM方法的强大是毋庸置疑的,但同时UVM却也不是那么容易掌握的。对于没有UVM经验的工程师,直接使用UVM方法还是比较困难的。 但是幸运的是,你可以在网上 ...
个人分类: 验证前沿资讯|7620 次阅读|0 个评论 热度 2
分享 移植混合语言设计验证的硬件加速方法
路科验证 2016-7-30 22:31
RTL仿真一直是IC设计生产流程中非常重要的一个环节。但是,随着设计规模的不断增大和功能复杂度的不断提高,较长的仿真时间就成了制约验证工作的主要瓶颈之一,运行数天甚至数周的超长测试用例是非常影响验证效率的。于是就引入了许多用于缩短仿真时间的技术,其中极为有效的一种是使用硬件加速器。硬件加速技术已经存在了 ...
个人分类: 验证前沿资讯|1148 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 16:16 , Processed in 0.015854 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部