在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 Testbench/Agent
ee_king 2018-9-17 17:10
可以将UVM代理视为特定逻辑接口的验证组件工具包。agent被发展 为一个package,其中包括用于连接到DUT信号引脚的SystemVerilog接口,以及包含构成整个agent组件的类的SystemVerilog package。agent 类本身是driver、sequencer和monitor的顶层 ...
681 次阅读|0 个评论
分享 Testbench/Component
ee_king 2018-9-17 16:15
UVM测试平台是由uvm_component基类扩展来的组件objects构建的。 创建uvm_component object时,它将成为测试平台层次结构的一部分,该层次结构在仿真期间保持不变。 这与uvm类层次结构的sequence分支形成对比,其中objects是瞬态的 - 它们被创建,使 ...
762 次阅读|0 个评论
分享 Testbench/IntegrationLevel(从The PSS env开始)
ee_king 2018-9-12 14:37
The PSS env 在测试各种has_ sub-component字段以确定测试用例是否需要相应env之后,PSS env build过程检索配置对象并构造各种子env。 如果需要使用子env,则在PSS envs配置表中设置sub-envs配置对象。 connect method用于 ...
924 次阅读|0 个评论
分享 Testbench/IntegrationLevel(从The Test开始)
ee_king 2018-9-12 08:45
The Test 与块级test一样,集成级test应该具有在后续test case中可以继承的基类中可以使用的公共build和configuration过程。 从示例中可以看出,还有更多配置要做,因此这种配置需求变得更加引人关注。 &nbs ...
901 次阅读|0 个评论
分享 Testbench/IntegrationLevel
ee_king 2018-9-11 19:48
该测试平台示例采用两个块级验证环境,并说明如何在更高的集成级重用它们。 示例中说明的原则适用于多次重复的垂直重用。 该示例采用SPI块级示例,并将其与GPIO DUT的另一个块级验证环境集成。 这两个block ...
867 次阅读|0 个评论
分享 Testbench_Testbench/Blocklevel(从The env开始)
ee_king 2018-9-11 16:41
The env SPI UVM environment中的下一个层次是spi_env。 该类包含许多子组件,即SPI和APB agents, a scoreboard, a functional coverage monitor和 a virtual sequencer。 构建这些 ...
1270 次阅读|1 个评论
分享 Testbench_Testbench/Blocklevel(从The Test开始)
ee_king 2018-9-11 10:08
The Test UVM构建过程的下一个阶段是build phase。 对于SPI块级示例,这意味着构建spi_env组件,首先创建并准备将要由环境使用的所有配置对象。 配置和构建过程可能在大多数测试用例中都很常见,因此 ...
1145 次阅读|1 个评论
分享 Testbench_Testbench/Blocklevel
ee_king 2018-9-10 16:39
作为块级测试平台的一个例子,我们将考虑构建一个用于验证SPI Master DUT的测试平台。 在这种例子里,UVM environment有两个agent - 一个用于处理其在APB slave端口上进行的总线传输,以及一个用于在其SPI端口上处理SPI协议传输的SPI agent。 整个UVM验证环境 ...
876 次阅读|0 个评论
分享 Testbench_Testbench/Build(从Building The Next Level Of Hierarchy开始)
ee_king 2018-9-10 13:46
Building The Next Level Of Hierarchy test 构建过程的最后阶段是使用UVM factory构建下一级别的测试平台层次结构。 这通常意味着构建顶层env,但可能有多个env或者可能存在可以在几个env之间进行选择 ...
726 次阅读|0 个评论
分享 Testbench_Testbench/Build(从Nesting Sub-Component Configuration Objects开始)
ee_king 2018-9-10 10:41
Nesting Sub-Component Configuration Objects 配置对象通过test的UVM组件配置空间传递给子组件。 它们可以使用uvm_config_db :: set method中的path参数单独传递,以控制哪些组件可以访问对象。 但是 ...
861 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 06:22 , Processed in 0.015540 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部