在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 Configuring a Test Environment(从Configuration开始)
ee_king 2018-12-12 16:56
Configuration 了解将配置传递到UVM测试环境 Configuration Chapter contents: Configuration(本页) - 如何使用配置值和配置对象; Config/ConfiguringSequences - 如何配置序列; &nbs ...
562 次阅读|0 个评论
分享 Connections to DUT Interfaces(从Connect/AbstractConcreteConfigDB开始_2)
ee_king 2018-9-29 11:09
Connecting the testbench to the DUT 使用抽象类和具体类的测试平台与DUT的连接类似于使用虚拟接口来进行连接的情况。 通过虚拟接口连接,虚拟接口句柄放置在事务传输器 ( 例如driver或moni ...
1339 次阅读|1 个评论
分享 Connections to DUT Interfaces(从Connect/AbstractConcreteConfigDB开始)
ee_king 2018-9-29 09:44
Connect/AbstractConcreteConfigDB Abstract Class Abstract类被定义为测试平台中agent的一部分,并包含在agent package中。 下面是一个名为wb_bus_abs_c的示例抽 ...
592 次阅读|0 个评论
分享 Connections to DUT Interfaces(从Connect/AbstractConcrete开始_2)
ee_king 2018-9-29 08:32
Abstract/Concrete Classes 首先定义一个抽象类(SystemVerilog虚拟类)。 抽象类具有纯虚method和properties,这些method和properties定义了用于访问信息的公共接口。method 的实现不在抽象类 ...
738 次阅读|0 个评论
分享 Connections to DUT Interfaces(从Connect/AbstractConcrete开始)
ee_king 2018-9-28 16:19
Connect/AbstractConcrete Abstract/Concrete Class approach to DUT-TB communication 不使用虚拟接口的基于句柄的DUT-TB通信方法在UVM行业中被称为抽象/具体类方法。 ...
716 次阅读|0 个评论
分享 Connections to DUT Interfaces(从ProtocolModules开始)
ee_king 2018-9-28 15:18
ProtocolModules 协议模块是把DUT接口、相关断言、QVL实例(不允许放在接口内)等等封装在一起的包装模块。 当emulation是一个考虑因素时,协议模块提供了一定程度的封装,以隔离在simulation和emula ...
734 次阅读|0 个评论
分享 Connections to DUT Interfaces(从BusFunctionalModels开始)
ee_king 2018-9-28 14:39
BusFunctionalModels Bus Functional Models for DUTcommunication 有时,DUT连接不是直接连接到DUT的端口,而是通过BFM间接进行。 如下图所示,通常BFM ...
633 次阅读|0 个评论
分享 Connections to DUT Interfaces(从VirtInterfaceFunctionCallChain开始)
ee_king 2018-9-28 10:38
Function Call Chaining 遗憾的是,将实际接口引用分配给测试平台内部的虚拟接口句柄的方法是在UVM用户指南中普遍使用的xbus示例中使用的方法。 许多用户自然认为这是推荐的方法,因为它在示例中使用。然而, 不建议 ...
858 次阅读|0 个评论
分享 Connections to DUT Interfaces(从DualTop开始)
ee_king 2018-9-28 09:26
DualTop 通常,DUT-TB验证框架具有单个SystemVerilog模块作为顶层。 该顶层模块包含DUT及其相关接口、协议模块、连接关系和所支持的逻辑。 它还包含用于创建测试平台的代码。该顶层模块所包含的 这些繁杂的“东西” ...
878 次阅读|0 个评论
分享 Connections to DUT Interfaces(从Connect/TwoKingdomsFactory开始_2)
ee_king 2018-9-28 08:42
Connecting the testbench to the DUT 【此处需一幅图】 在wishbone包装器中,为基类driver创建派生的concrete class driver的实例重载。 请注意,此示 ...
795 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 21:05 , Processed in 0.019220 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部