karyen的个人空间 https://blog.eetop.cn/1394459 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 功耗评估流程——DCG网表功耗
2022-9-8 11:06
读网表 read_verilog xx.v(.gz) current_design 读库 set link_library * \ ... .db \ ... .db \ link averaged分析设置 set sh_enable_page_mode true set power_enable_analysis t ...
个人分类: 功耗|597 次阅读|0 个评论 热度 10
分享 DC综合 修改display限制,显示全部信息
2022-9-6 16:22
执行get_cells * -h 等命令时,往往出现显示不全的情况,最后是... 修改collection_result_display_limit的值即可 如果记不全这个变量名,可以执行printvar *limit*,报出所有带limit的变量的默认值,再修改即可 如下图所示:
个人分类: DCG 综合|431 次阅读|0 个评论 热度 1
分享 Verilog中把一个大位宽变量赋值成全1
2022-9-5 18:21
例如 256bit A信号 法一: a={256{1'b1}}; 法二: 赋值0取反 a; b; b= 'h0; a=~b; https://bbs.eetop.cn/thread-453585-1-1.html
个人分类: RTL|403 次阅读|0 个评论 热度 1
分享 TEROSHDL一键显示不同模块的调用关系
2022-9-1 17:13
点击TEROSHDL:PROJECT MANAGER右测+号,新建一个project,例如test_v0 点击test_v0右测+号,把相关代码全添加进来 找到顶层文件,同样点击它右测+号,告诉工具它是顶层。设置成功会显示黄圈,例如图中的c....v 点击项目test_v0右测√号(即图中绿框),该project会出现在下方的TEROSHDL:HIERARCHY VIEW,且顶 ...
个人分类: 工具相关|1061 次阅读|0 个评论
分享 AEAD密码算法的吞吐率
2022-8-22 11:29
吞吐率=(plaintext bits + AD bits )/(cycles * period)
个人分类: 密码学|255 次阅读|0 个评论
分享 在Modelsim中显示状态机名称
2022-7-12 17:02
1. 使用 Virtual Type 创建一个枚举类型,格式如下: Virtual Type { {val1 s1} {val2 s2} ... {valn sn} } newVirtualType 其中,val 表示枚举值,s 表示枚举名,newVirtualType 表示新的枚举类型的名称 注意: vi ...
个人分类: tcl 脚本|360 次阅读|0 个评论
分享 VHDL调用verilog模块,接口类型不一致报错,如何解决?
2022-6-16 11:05
VHDL文件A,调用Verilog文件B A文件中: b:in integer range 0 to 11; signal l : integerrange 0 to 11; signal m : integerrange 0 to 11; u_B: B port_map( ...
个人分类: VHDL|716 次阅读|0 个评论 热度 1
分享 modelsim 导出波形数据
2022-3-30 14:49
法一:导出特定时刻某信号值 法二:导出全部时刻某信号值 参考如下两个帖子: 从modelsim中提取数据_sqiana的博客-CSDN博客 Modelsim导出数据的两种方法_w0shishabi的博客-CSDN博客_modelsim导出波形图 如果想 把数据从LINUX工作站导出到WINDOWS ,可参考我另一个帖子 vnc与window ...
个人分类: tcl 脚本|1953 次阅读|0 个评论 热度 13
分享 linux下运行modelsim
2022-2-25 11:21
1. 先在.cshrc或者.bashrc文件中指定工作站上modelsim的安装目录(到bin文件) 2. 打开终端,输入vsim,按下Enter,稍等片刻即可看到Modelsim界面!
个人分类: tcl 脚本|895 次阅读|0 个评论
分享 VHDL语法相关
2022-2-14 18:20
VHDL中的&是拼接!!不是"与"运算的意思 花费大半天才找出来这个错。。。
个人分类: VHDL|529 次阅读|0 个评论
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 2

    粉丝
  • 1

    好友
  • 26

    获赞
  • 7

    评论
  • 63

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 20:35 , Processed in 0.015749 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部