在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 VHDL调用verilog模块,接口类型不一致报错,如何解决?
karyen 2022-6-16 11:05
VHDL文件A,调用Verilog文件B A文件中: b:in integer range 0 to 11; signal l : integerrange 0 to 11; signal m : integerrange 0 to 11; u_B: B port_map( ...
个人分类: VHDL|713 次阅读|0 个评论 热度 1
分享 VHDL语法相关
karyen 2022-2-14 18:20
VHDL中的&是拼接!!不是"与"运算的意思 花费大半天才找出来这个错。。。
个人分类: VHDL|527 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 16:31 , Processed in 0.009458 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部