mcm908911的个人空间 https://blog.eetop.cn/1179094 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Vhdl与Verilog混仿问题【解决方案】

已有 1729 次阅读| 2020-1-9 17:04 |个人分类:环境与仿真|系统分类:芯片设计| VHDL, VERILOG, 混仿, 混合仿真, verdi

第一篇技术日志,希望自己能坚持写下去,帮助别人,提升自己。

入职新公司,遇到vhdl和verilog混仿问题,十分烧脑,今天终于把问题都解决了,现在分享在这里,与大家一起进步。

【1.vcs编译】
在vcs命令行中加入以下内容
//建立synopsys_sim.setup
mkdir work
echo \"WORK  > default\" > synopsys_sim.setup
echo \"default : ./work\" >> synopsys_sim.setup
//分别编译vhdl和verilog
vhdlan -full64 -f vhdl.f -l vhd.log
vlogan -full64 -f tb.f -ntb_opts uvm-1.1 -l vlog.log
vlogan -full64 -ntb_opts uvm-1.1  -f cmp_list  +libext+.v -sverilog +vpi +vcsd +v2k  -timescale=1ns/10ps -l comlist.log 
//+vcs+flush+all是即使刷新文档/波形的意思
vcs tb_top -debug_access+pp  -l compile.log -full64 +vcs+flush+all
【2.verdi打开】
在verdi命令中加入以下内容,同时,之前使用的编译文件应该注释掉。
//分别编译各自库文件
$verdi_cmd = "vhdlcom -nc -2000 -f vhdl.f -lib dut_vhd_lib\n";
$verdi_cmd = "$verdi_cmd vericom -nc -2000 -sverilog -f tb.f -lib dut_v_lib\n";
//加入库文件
$verdi_cmd = "$verdi_cmd verdi";
$verdi_cmd = "$verdi_cmd -L dut_vhd_lib";
$verdi_cmd = "$verdi_cmd -L dut_v_lib";
//加入顶层
$verdi_cmd = "$verdi_cmd -top dut_v_lib.tb_top";




点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 1

    粉丝
  • 2

    好友
  • 0

    获赞
  • 1

    评论
  • 441

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 08:45 , Processed in 0.016823 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部