| |
使用了两年多verilog,对VHDL的语法不熟悉,甚至有些语句看不懂,尤其在查阅参考样例代码时,感觉应该学习一下。
下面把我看到的,一些东西记录一下,从学走路开始,一步一步积累。
library ieee; --! 这个是必须的,引用vhdl的ieee库。
use ieee.std_logic_unsigned.all; --! ieee库中的一个组成部分
use ieee.std_logic_1164.all; --! ieee库中的一个组成部分
use ieee.std_logic_arith.all; --! ieee库中的一个组成部分
use ieee.numeric_std.all; --! ieee库中的一个组成部分
use ieee.std_logic_signed.all; --! ieee库中的一个组成部分
--! 上面的五个部分正是ieee vhdl中制定的5个组成部分,当然还可以包含一些自定义的包,例如
use work.pkgDefinition.all;