cnzhang的个人空间 https://blog.eetop.cn/110318 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

UVM学习笔记1. 仿真脚本(for Questa10.0a in windows XP)

已有 5116 次阅读| 2011-5-9 14:41

    断断续续的看了sv for verificationUVM,自己搭建了一个简单的仿真环境。发现这个东西一个人研究还是挺郁闷的,所以把自己学习的进展写下来,希望能够和大家共同学习成长。其中不对的地方还请大家指出

注:UVM相关的资料可以在这里下载:http://www.uvmworld.org/

脚本是参考UVM包里面的脚本写的:

vlib work

set UVM_HOME D:/study/uvm/questasim-win32-10.0a/verilog_src/uvm-1.0

vlog -timescale "1ns/1ns" -mfcu -suppress 2181 +acc=rmb \

     -writetoplevels questa.tops \

     +incdir+$UVM_HOME/src $UVM_HOME/src/uvm.sv \

     +incdir+../ ../sdram_top.sv

vsim +UVM_VERBOSITY=UVM_MEDIUM \

     -sv_lib ./uvm_dpi \

     -l questa.log \

     -f questa.tops \

     +UVM_USE_OVM_RUN_SEMANTIC \

     +UVM_TESTNAME=sdram_test

add wave -r /*

run 10ms

sdram_top.sv就是testbench的顶层,sdram_test就是你仿真要调用的class

这里有两个问题:

A      A.必须要把uvm_dpi.dll拷贝在当前目录下,不然Questa可能不能够识别(这个比较汗)。

    B.如果你用到了OVM的当中methodsstop_request() and/or global_stop_request),必须加+UVM_USE_OVM_RUN_SEMANTIC


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 1

    评论
  • 183

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 01:29 , Processed in 0.023926 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部