paperfly的个人空间 https://blog.eetop.cn/eeic [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

verilog实现3分频(用Moore状态机)

已有 1855 次阅读| 2007-5-18 16:03 |个人分类:IC设计

天气: 晴朗
心情: 平静
分析思路:
首先考虑输入输出,作为分频电路,有一个时钟输入端,clk,输出端div3,再加一个复位端(这里不加也可)
所以输入 clk,rst
       输出 div3
再考虑状态转换的问题
      既然是3分频,应该有3种状态,0、0、1 or 1、1、0(0、1、1)
在时钟的作用下,应该不停的在这三种状态下转换,并且输出仅仅依赖于当前的状态,所有的整数分频器都可以按照次方法来实现。

代码如下:

//Moore fsm
// from www.edacn.net
module div_fsm (clk,rst,div3);
input                clk,rst;
output                div3;
reg                div3;

parameter[1:0]  S0=2'd0,
                S1=2'd1,
                S2=2'd2;

reg[1:0]                state,next_state;

always @ (posedge clk)
begin
        if(!rst) state<=S0;
        else     state<=#1 next_state;
end

always @ (state)
begin
        //default values
        next_state=S0;
        case (state)
                S0: begin
                         next_state=S1;
                         div3=0;
                    end
                    
                S1: begin
                         next_state=S2;
                         div3=0;
                    end
                             
                S2: begin
                         next_state=S0;
                         div3=1;
                    end
                    
                        endcase
end
endmodule


// test benches
module div_fsm_t;
reg         clk,rst;
wire        div3;

div_fsm U1(clk,rst,div3);

initial begin
        clk=0; rst=0;
        #300   rst=1;
end

always #50 clk=~clk;
endmodule

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 1

    获赞
  • 6

    评论
  • 234

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 21:26 , Processed in 0.025179 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部