在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 第一章、硬件描述语言与Verilog-A/AMS
wxxyujie 2017-3-14 01:25
硬件描述语言是用来描述硬件工作方式的程序语言。 硬件描述语言已经有了一段不小的历史,早在1995年,当很多人还不知道电脑和程序是什么东西的时候,Cadence Design System公司就已经提交并通过了IEEE1364标准。这就是VHDL描述语言。 VHDL语言和后来的Verilog语言主要用于描述数字电路的行为。它们描述的对象是离散、时间 ...
568 次阅读|0 个评论 热度 1
分享 RFID
chunchui1987 2017-3-12 20:37
550 次阅读|0 个评论
分享 15/433 超再生无线接收模块 J04U
ayxsj 2017-3-11 13:57
315/433 超再生无线接收模块 J04U 型号: J04U 主要特点: 1 、输出无噪声无干扰(平时输出为零电平) 2 、极低功耗(工作电压 3V/0.2mA ) 3 、特小体积(不需要外界天线) 【性能参数】 ( 1 )发射频率 :315MHz ; 433MHz ...
924 次阅读|0 个评论
分享 nvverilog使用笔记
马金鑫 2017-3-10 20:52
ncverilog 是 shell 版的, nclaunch 是以图形界面为基础的,二者调用相同内核; ncverilog 的执行有三步模式和单步模式,在 nclaunch 中对应 multiple step 和 step ncverilog 的三步模式为: ncvlog(编译) ncelab(建立 snapshot 文件) ncsim(对 snapshot 文件进行仿真) 基于 shell 的 ncverilog 操作(尤其是单步模 ...
1456 次阅读|0 个评论
分享 ncsim问题:总是报告:*Novas*WARNING:[NoReadAccess][FilterOut]Name:
马金鑫 2017-3-10 16:38
总是报告:*Novas*WARNING: Name:*** : 一开始使用irun,但是在仿真的时候,总是报上面的错误: 现象表现为:同一层次的文件,有些信号没有download,有些信号正常。 原因:irun 有个参数 access,好像涉及权限的问题。 +access+wrc : 读取对象的权限 , 缺省为无读 (-w) 无写 (-r) 无连 ...
5775 次阅读|0 个评论
分享 一个生成并行计算CRC代码的网站
njithjw 2017-3-10 11:51
http://outputlogic.com/ http://www.easics.com/services/freesics/crctool.html
543 次阅读|0 个评论
分享 8位数据中,"1"的个数识别
mokang0421 2017-3-9 23:16
Q: 统计出b0b1b2b3 b4b5b6b7里面 “1”的个数 如果能分割成 4 位,再分割成2位。 b01共有三种情况,0、1、2个"1". 可以表示成 b01={b0b1,b0^b1}. 同理,b23 = {b2b3,b2^b3}; 画一个卡诺图: (x^y)操作 \ 00 01 10 ...
1043 次阅读|0 个评论
分享 实时linux部署测试
toradex 2017-3-9 12:04
ByToradex 胡珊逢 1). 简介 对于实时、决策或者低延时应用,Linux能够提供多种方案。第一种方法是和Linux内核一起运行一个hypervisor或者co-kernel。这种方案中hypervisor或者co-kernel的优先级高于Linux,实时任务则运行于其中。另外一种方式是使用非对称异构多核系统, ...
960 次阅读|0 个评论
分享 NVIDIA Jetson TX2发布了
brucezhan 2017-3-9 10:44
Credit Card-Sized Super Computer That Powers AI Such As Robots And Drones Unveiled By Nvidia Details Link: develop kit Jetson TX2 Launch HEADLINES “Credit Card-Sized Super Computer That Powers AI Such As R ...
1960 次阅读|0 个评论

本页有 1 篇日志因作者的隐私设置或未通过审核而隐藏

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 17:24 , Processed in 0.039884 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部