在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 心语
loopgain 2016-10-25 19:46
做感动自己的自己 professional不是穷尽perfect,而是trade-off时的自信 不是财穷,而是心穷 人生唯一的收获就是这段经历 客观规律从来没有改变过,只有符合客观规律才顺利。 客观规律包括自然规律和社会规律 成功表明其符合客观规律的程度最高 成功必有共性,失败则各不同 选择有时比努力 ...
1589 次阅读|0 个评论
分享 verilog常用系统函数以及例子
tchell 2016-10-25 16:48
1.打开文件   integer file_id;   file_id = $fopen("file_path/file_name"); 用法1.$fopen("文件名"); 用法2.文件句柄=$fopen("文件名"); 注意:用$fopen打开文件会将原来的文件清空,若要读数据就用$readmemb,$readmemh就可以了,这个语句不会清空原来文件中的数据。 用$fopen的情况是为了取 ...
3138 次阅读|2 个评论
分享 学会这三招,VIM编辑效率提高一倍
small5 2016-10-24 22:07
VIM作为一款程序员专用编辑器,深受广大码农的喜爱。VIM的强大这里不再赘述。本文介绍三种操作,用于完成较为复杂的文本编辑,熟练掌握这几招,可以让你的文本编辑效率大大提升。 块操作 块操作倾向于对某一特定的文本块区域做相同的操作。 ctrl+v :进入块操作模式 移动光标,高亮显示的是被选中操作区 ...
2307 次阅读|0 个评论
分享 温度传感器SC1820 替代DS18B20 温度探头定制 高精密运放
mk008 2016-10-24 17:17
高精度带宽 4.5M 运算放大器 OK8551(单通道),OK8552(双通道),OK8554( 四通道) 替代兼容产品: 圣邦微电子: SGM8551,SGM8552,SGM8554,SGM8581,SGM8582,SGM8584 ADI: AD8551,AD8552,AD8554 3PEAK(思瑞浦):TP5551,TP5552,TP5554,TP5591,TP5592,TP5594 高精度带宽 ...
868 次阅读|0 个评论
分享 CML结构PFD鉴频鉴相器不能正常复位
胭脂盗 2016-10-22 21:07
采用CML(current mode logic)结构设计的PFD,也就是SCL(source coupled logic)结构,出现复位问题 从仿真波形可以看出,鉴相的功能是存在的,但是在鉴相后,也就是两个dff都为高电平,复位信号拉高,复位之后又开始信号振荡,是因为延迟问题带来的吗? ...
2292 次阅读|0 个评论
分享 IC路上的蜗牛
elecandy 2016-10-22 00:58
天气 : 阴雨 心情 : 平静 小的时候就觉得科学家,工程师这些人很厉害,如果那个同学的父母从事这样的行业就会羡慕不已。最终,二十年的校园学习让我也成为一名初级工程师。但是并没有觉得符合年少时的美好预期,现实情况是我还在IC的道路上缓慢如蜗牛般的前行。 & ...
335 次阅读|0 个评论
分享 [转载]DC脚本示例及解释
alenww 2016-10-21 14:15
#script. for Design Compiler # Language : TCL # Usage : # 1) make sure the lib in the current directory # &nb ...
6199 次阅读|1 个评论 热度 2
分享 MATLAB博士论文|基于Matlab采空区自燃“三带”的分析
hlayumi 2016-10-21 13:03
MATLAB博士论文代写|基于Matlab采空区自燃“三带”的分析 matlab代做,matlab专业代做, fpga代做 , fpga 专业代做, simulink代做 , simulink 专业代做,matlab coursework代做,matlab assignment代做,matlab留学生代做,matlab北邮国际代做,matlab北邮代做,matlab海外代 ...
68189 次阅读|0 个评论

本页有 2 篇日志因作者的隐私设置或未通过审核而隐藏

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 19:36 , Processed in 0.086343 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部