在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 四季随想
seawang 2010-5-21 19:54
四季随想 春 春象风一样来去匆匆 没有理由 也无需理由 我牵着你的手 走过这一季 在生命枯荣的瞬息里 是欲望 驾驭了我们的心 是我 紧紧拉着你 让你 跟随我的脚步 你的心情 我没有问过 她还好吗? 夏 五月的夏 是一团神秘的烈火 悄无声息地降临 它的来到 是因为一个约定 每一个人 旅途中的有一段 由它相伴 行走的人啊 ...
284 次阅读|0 个评论
分享 今夜
seawang 2010-5-21 19:53
我的足 行走在屋里 漫无目的 只有你 知道 我行走在哪段记忆 推开窗 夜色下 北方那片云 云下的你 湿润了一双眼睛 风儿仿佛带来一缕气息 拥被而坐 深呼吸 深呼吸 夜深了 我伸出遥远的手 在被窝 寻找你你的肌肤 你的气息 今夜 我只想和你在一起...在一起... ...
825 次阅读|0 个评论
分享 简简单单
seawang 2010-5-21 19:53
==简简单单== 我的生活 简简单单 简化得 就象街口的红绿灯 只有三张脸 不管红的 绿的 还是黄的 都是我患得患失 又胆小 又贪婪的心情变幻 不想说 心里有一个梦 永远只是一个梦 不会碰到让我说出的人 于是 象每一位男人 我上班 再下班 年复一年 终于简简单单 ...
258 次阅读|0 个评论
分享 "咕咯 咕咯"
seawang 2010-5-21 19:52
=="咕咯 咕咯"== 树上一只鸟 "咕咯 咕咯"地叫 虽然位置站的高 其实心里也烦恼 笼子里有吃有喝真热闹 八哥鹦鹉心里笑 吃吃喝喝真美妙 别把自己放太高 树上一只鸟 "咕咯 咕咯"地叫 "自由和面包 两样都想要" 养鸟的 笑了 这只大笨鸟 脑袋伸进笼子里 屁股蹶在笼子外 摇摇尾巴以为在自己还在飞 它以为 它吃了喝了还能 ...
401 次阅读|0 个评论
分享 三界
seawang 2010-5-21 19:52
==三界== 魔王坐在宴席上 高声地笑 "来吧,看魔界的幸福生活!" 声音穿过神的殿堂 黎明的神殿 耸立在高山上 茫茫雾霭在四周翻 涌 "看吧 那是人间万象 喜的 悲的 善的 恶的 多么美的景象!" 人用头叩拜着庙宇 "主啊,我历经万劫 你是 我唯一的希望..." 万物运行 如斯如是 每一条轨迹 也许 都刻在一张盘上 在清晨 ...
428 次阅读|0 个评论
分享 没有的字
seawang 2010-5-21 19:51
==没有的字== 你蹰蹴着 把那一个字 给我 象一滴雨露 一丝一缕 却又无孔不入 所有干壑的土地 都融化了 满满的春水荡漾在心湖里 我听见暮色下的钟声 传递着浪漫的喜悦 钟声下的社会 颜色依旧 风起了 峭俐寒风 还徘徊在北国的春天里 鞠一把甘泉 想冼去脸上的风尘 却发现 它们已刻在心里 有一种悲伤 有 ...
273 次阅读|0 个评论
分享 玉兰花开了
seawang 2010-5-21 19:50
==玉兰花开了== 你安静得象一朵睡莲 让淡淡幽香 蜿延地直入心房 透过人群的 我的眼 寻觅的目光 停留在你的心上 那一树白玉兰 盛开了 就在婷婷的布衣下 等了一千年么 这一刻 是轮回中的美 开在北国的春风里
353 次阅读|0 个评论
分享 我愿意
seawang 2010-5-21 19:49
==我愿意== 朋友说 他的舞步 乱了 在喧嚣的年代 找不到 自己的 节拍 这是一个舞蹈的社会吗? 我只看到欺诈 贪婪和腐朽 那些善良的人 也扭曲了脸 沉默着 在魔鬼的宴席上 我们寻找着残羹 听见吃人者的嘲笑 我们活得颤颤兢兢 呵 不管寒风多么凛冽 不管妖魔多么庞大 我为了你 还有家 我会战斗在这里 冲破乌云 让阳光 ...
429 次阅读|0 个评论
分享 我有一个梦
seawang 2010-5-21 19:49
==我有一个梦== 我有一个梦 有一天 不同肤色的钞票 绿美元 花欧元 还有粉红人民币 大家通通来到我的口袋 为了这个美丽的梦 我要站在北京城 嘿嘿 买断那密云水库 让刚性需求 把水涨价一千倍 需要资金? 没关系 银行家们说这是优质贷款 人总要喝水 增加GDP, 不算CPI 没有比这更好的生意 卖不出去? 你真傻还是假傻? 你喝 ...
258 次阅读|0 个评论
分享 莫名其妙的DC仿真错误之解决方案
seawang 2010-5-21 19:48
仿真发现在做dc是,总是出现internal error。仿真器中断推出。没有进一步信息。把simulation下的仿真数据全部删除,load save的state再做。同样问题出现。怀疑是由于state保存了某些不对的文件路径或者文件造成。把电路完全copy到另外一个cell。不调用前面的state。仿真,OK。 ...
576 次阅读|0 个评论

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-20 05:30 , Processed in 0.505214 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部