在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 OVM Cookbook学习记录(3)
usb_geek 2010-5-4 20:58
这几天看virtual interface,用IUS8.20.S20编译OVM cookbook后面第四章的几个练习,收获如下: 1. IUS不支持function new()定义为local method, 而questasim支持,所以toy_factory的例子必须将所有的 local function new();中的local修饰去掉才能在IUS中编译 不支持local new( ...
2345 次阅读|0 个评论
分享 开机重启原因
swpu 2010-5-3 18:57
电脑自动重启有哪些原因?如何防治? 一、软件方面 1.病毒 "冲击波"病毒发作时还会提示系统将在60秒后自动启动。 木马程序从远程控制你计算机的一切活动,包括让你的计算机重新启动。 解决方法:清除病毒,木马,或重装系统。 2.系统文件损坏 系统文件被破坏,如Win2K下的KERNEL32.DLL,Win98 FONTS目录下面的字体等系统 ...
850 次阅读|0 个评论
分享 32位加法器
huoyumutou 2010-5-2 22:45
先把题目公布下吧: 1、设计32位高性能加法器,做前端仿真。(Modelsim) 2、设计32位低功耗加法器,做前端仿真。(Modelsim) 3.、设计32为高性能加法器,做后端仿真。(Hspice) 4、设计32为低功耗加法器,做后端仿真。(Hspice) ...
1029 次阅读|0 个评论
分享 Synlify综合软件安装步骤
qinhld 2010-5-2 09:15
在使用 verilog 编程的过程中要使用到综合器。选择了 synopsys 公司的 synlify 综合软件。由于自己没有synplify pro9.6.2,因此只有找synopsys.在 synopsys 公司官网申请下载时也颇费周折,还未能如愿。放弃了官网只好在非官网里寻找。在本站找到了免费的安装版。在安装过程中,把操作的步骤记下,当作备份 ...
5515 次阅读|0 个评论
分享 一年一次
greycat 2010-5-1 22:43
去年发了一篇日记,今年又上来发一篇。 今年在这里好好的安个家,不知不觉跟eetop的时间很久了; 希望eetop越来越火。
700 次阅读|0 个评论
分享 systemverilog的疑问
usb_geek 2010-5-1 21:06
自己对verilog和C比较熟悉,最近为了学习OVM,接触了systemverilog, 根据自己之前的C++以及OOP的一点基础,对systemverilog的一些语句有了一些需要澄清的地方,需要记录下来: 1. 理论上所有的东西都是对象,每个对象属于一个类(class), class相当于一个数据类型, ...
1561 次阅读|0 个评论
分享 文献代理中级知识1 ---- 如何通过吸附获得文献代理
bkat 2010-5-1 00:14
在看这篇帖子之前,建议你先看看普通代理的吸附验证教程。不然你很难看懂下面的内容。 文献代理也是免费代理,要找到文献代理,首先要找到大量的备选代理,然后再从中验证出文献代理。 利用软件吸附代理,是获得大量备选代理的好方法。吸附的方法与普通代理的方法通用,软件用APL,FPL,ProxyFire等均可。 找到大量的备选 ...
4633 次阅读|0 个评论
分享 为了大家的多能点积分 来灌水
yangxia0701 2010-4-30 22:35
为了大家的多能点积分 来灌水 大家加油啊
618 次阅读|4 个评论
分享 OVM Cookbook学习记录(2)
usb_geek 2010-4-30 21:16
今天看了OVM中的configuration Database, 感觉十分巧妙,利用了ovm_component的层次结构,保持一个可以按树形遍历的数据库集合。但是也衍生了几个问题。 1. root中的config,和子节点的config,谁的优先级高?get_config_int("param", value);调用,先从root开始搜 ...
3573 次阅读|2 个评论
分享 基本逻辑运算
xinu2009 2010-4-30 16:02
基本门电路及其组合 基本逻辑门电路 (1).与门 在逻辑问题中,如果决定某一事件发生的多个条件必须同时具备事件才能发生,则称这种因果关系为与逻辑。例如,在图8-1所示电路中,开关A和B串联控制灯Y。显然,仅当两个开关均闭合时(条件),灯才能亮(结果)。 ...
4630 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-24 03:36 , Processed in 0.450235 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部