在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 定位人生
mc676374 2010-8-21 03:38
  看惯了日出日落,就不太羡慕太阳的颜色;它是赋予每个人的,也可以说每个人都拥有;只有眼睛失明的人才会有“番茄太阳”的故事;得到的总归于平淡,逝去的不经意间就披上了无奈。         年轻的人都有梦想,这种梦想都很高,能实现梦想的当然就很少;这就产生了挫折,有的人更加的努力,称之为奋斗吧!很少 ...
212 次阅读|0 个评论
分享 Eagle使用备忘录-1
wildgoat 2010-8-20 14:37
(1). 从既有libray中拷贝Parts到自己的library 首先在Control Panel中打开自己的library。(方法有多种:可以File-Open-Library...,也可以在Control Panel界面中左侧的浏览框内找到自己的library,然后右键-Open) 接着 ...
1933 次阅读|0 个评论
分享 学习学习
dm_test 2010-8-20 13:21
for %%i in (C:,D:,E:,F:,G;) do rd %%i\vod_cache_data/s/q
461 次阅读|0 个评论
分享 摘要
dm_test 2010-8-20 13:20
c: cd c:\windows\system32 del cid_store.dat md cid_store.dat attrib cid_store.dat -r -s -h cd cid_store.dat md 禁止迅雷后台上传文件夹..\
473 次阅读|0 个评论
分享 电容器主要特性参数
fuxicheng 2010-8-20 11:17
电容器主要特性参数 1、标称电容量和允许偏差 标称电容量是标志在电容器上的电容量。 电容器实际电容量与标称电容量的偏差称误差,在允许的偏差范围称精度。 精度等级与允许误差对应关系:00(01)-±1%、0(02)-±2%、Ⅰ-±5%、Ⅱ-±10%、Ⅲ-±20%、 Ⅳ-(+20%-10%)、Ⅴ-(+50%-20%)、Ⅵ- ...
2002 次阅读|0 个评论
分享 polycide, silicide &salicide
Young_W 2010-8-20 10:05
SILICIDE、SALICIDE和POLYCIDE (转载) 工艺中经常会碰到。。呵呵。。 权当抛砖引玉了,不准确的地方还希望大家指出讨论之~~ 首先,这三个名词对应的应用应该是一样的,都是利用硅化物来降低POLY上的连接电阻。 但生成的工艺是不一样的,具体怎么用单独的中文区分 ...
2026 次阅读|0 个评论
分享 Bandgap的环路稳定性分析
super_im 2010-8-19 23:40
关于Bandgap的环路稳定性,一般理解是负反馈增益大于正反馈增益,即总的反馈为负反馈。实际我要看环路的相位裕度怎么看,我的补偿电容增加的很多但是我的环路好像依旧不稳定
3503 次阅读|0 个评论 热度 1
分享 关于Bandgap不能自启动的问题
super_im 2010-8-19 23:32
我是通过仿真发现的这个问题,就是Bandgap在OP offset存在的条件下,有的时候不会自启动,查了很多资料也理解了一些,希望大家也谈谈见解,毕竟offset是如何影响启动的还是个问题!
1283 次阅读|0 个评论 热度 1
分享 PCB绝缘之间微短路原因
cdfldf1984 2010-8-19 20:19
http://www.baisi.net/viewthread.php?tid=1385458extra=page=1
1127 次阅读|0 个评论
分享 手机软硬件平台相关网页
cdfldf1984 2010-8-19 19:40
飞思卡尔: http://www.freescale.com.cn/mxc 高通: http://www.qualcomm.com/technology TI : http://focus.ti.com/general/docs/wtbu/wtbuportal.tsp?templateId=6123path=templatedata/cm/general/data/wtbportl/wtbu_portal Agere http://www.agere.com/mobility/wireless.html Infineon htt ...
605 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-2 02:48 , Processed in 0.140912 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部