在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 数字基带信号及其功率谱密度
研究生笑笑 2024-1-5 11:37
1:数字基带,比如二进制单极性非归零码,实际信号是连续时间的,但放到matlab里处理,都是有采样时间的,要用离散时间的知识,DFT。 数字信号处理主要是离散时间, 但你知道的知识都是连续时间的,傅里叶级数(周期信号的频谱),傅里叶变换(能量信号的能量谱密度)。 对于周期信号,能量无限,功率有限,所以对 ...
448 次阅读|0 个评论
分享 如何删除所有的 cdslck 文件
sunnylin 2024-1-4 16:35
在 terminal 中运行: find . -name *cdslck* -exec rm -f {} \; 或者: rm 'find . -name *cdslck*' 即可删除当前路径及以下文件夹层次中的所有名称包含 cdslck 的文件。
390 次阅读|0 个评论 热度 1
分享 setof函数使用
jsding 2024-1-4 16:28
1 个评论
分享 [ Virtuoso ] 中如何优化 Library Manager 的显示
sunnylin 2024-1-4 16:27
中如何优化 Library Manager 的显示 主要从 cds.lib 文件去入手。 现在想把 Virtuoso 预装的库整理一下,分类到一个叫 preload 的库中。 1. 首先创建一个 preload 库,最好再把可写权限关闭 2. 接着在 cds.lib ...
436 次阅读|0 个评论 热度 11
分享 分享一个电路器件转换脚本
jsding 2024-1-4 15:57
5 次阅读|3 个评论 热度 10
分享 No Magic—复杂机电产品系统架构开发套件
hirain123 2024-1-4 14:25
产品概述 CATIA Magic,原名MagicDraw,俗称No Magic,被达索收购后融入3DExperience产品协同研发管理平台中,形成更具协同体验的系统工程解决方案。该软件提供对SysML/UML/UAF语言的完整支持,提供独有的MagicGrid方法论,涵盖:业务和任务分析、利益攸关者需要及需求分析、系统需求定义、 ...
299 次阅读|0 个评论
分享 数字芯片中的GPIO是什么?
mervin_li 2024-1-3 23:16
新的一年开始了,挑战清单已经密密麻麻了。 诸多项目中得以窥见全流程的工作,因此想做个记录,点点滴滴让全流程的工作留下蛛丝马迹,供有意者探索。 今天就记录一下full chip常用的一种单元--GPIO。 GPIO,全称是general purpose input/output。简单说就是通用的接口单元,用作整个芯片的信号输入输出,电源的输入输出 ...
601 次阅读|0 个评论 热度 2
分享 Xilinx FPGA NVMe主机控制器IP,高性能控制器介绍
axpro 2024-1-3 20:49
NVMe Host Controller IP 1 介绍 NVMe Host Controller IP 可以连接高速存储 PCIe SSD ,无需 CPU 和外部存储器,自动加速处理所有的 NVMe 协议命令,具备独立的数据写入 AXI4-Stream/FIFO 接口和数据读取 AXI4-Stream/FIFO 接口,非常适合于超高容量和超高性能的 ...
300 次阅读|1 个评论
分享 益莱储2024新年展望:迎接数字化和可持续发展的机遇与挑战
ElectroRent 2024-1-3 12:06
作者:益莱储亚太区高级副总裁潘海梦 2024年1月3日 2023年是重回正轨的一年,超乎寻常的机会,伴随有前所未有的挑战。数字化、5G落地、电动汽车、绿色能源的快速发展给整个行业带来蓬勃生机;然而,全球供应链问题、技术迭代速度的挑战以及环保可持续性带来的压力也给行业带来多重挑战。 在过去的一年里,测试 ...
309 次阅读|0 个评论
分享 sar-adc
rochesterlmg 2024-1-3 11:37
% % fileID = fopen('drb_output.txt','r'); % = fscanf(fileID, '%d'); % fclose(fileID); clc %8−bit digital output is all zeros digital_output = zeros(1,8); %Normalised to one for example reference_voltage = 1; input_voltage = 0.425; for i=1:8 %curr ...
362 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 15:50 , Processed in 0.018438 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部