在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 SV及UVM高级话题篇之四:OVM到UVM的移植(续2)
路科验证 2018-6-29 19:04
替换OVM configuration方法 习惯于OVM配置方法的用户,在配置过程中都使用的是 _config_ 来在组件层次中实现配置,尽管在UVM中这些方法仍然有效,但我们并不建议再使用旧有的方法,原因有二。第一是,原来在OVM中并不支持interface通过配置的方式直接传递interface,也因为这一种限制使得interface ...
1561 次阅读|0 个评论
分享 SV及UVM高级话题篇之四:OVM到UVM的移植(续1)
路科验证 2018-6-29 19:01
OVM到UVM的代码自动转换 在完成上面的检视和修改之后,我们可以运行UVM安装包自带的脚本ovm-to-uvm10.pl,这一脚本会将.sv/.svh后缀扩展名文件代码中的OVM关键词转换为UVM关键词。通过这个脚本我们可以完成下面的自动化替换: 在.sv/.svh后缀名文件中的ovm字符会被替换为uvm字符。 文件名 ...
1519 次阅读|0 个评论
分享 SV及UVM高级话题篇之四:OVM到UVM的移植
路科验证 2018-6-29 18:58
在过去的几年中,UVM在几大EDA公司不遗余力的推广和工具的支持下,迅速成为了verifier的必备技术之一。而对于那些大公司,那些有深厚技术储备的公司,他们在验证技术的演变中一直在扮演着迁徙者的角色,即从水草贫乏之地(以前不是这样的)迁完丰饶的地方。这种迁移譬如当年的VMM到OVM、或者OVM到UVM等等。而我们今天要介 ...
1483 次阅读|0 个评论
分享 SV及UVM高级话题篇之三:SV单元测试方法SVUnit(续)
路科验证 2018-6-29 18:56
从生成的框架来看,会有一个uvm_component类simple_model_uvm_wrapper生成,这个类继承于simple_model,它的目的是为测试simple_model类,而在其内部定义更多需要的成员。随后会有一个module simple_module_unit_test,它的作用在于例化软件类simple_model_uvm_wrapper、完成SVUnit的测试环境结构,同时由单元测试者自定 ...
2335 次阅读|0 个评论
分享 SV及UVM高级话题篇之三:SV单元测试方法SVUnit
路科验证 2018-6-29 18:54
正如我们上一节介绍到了目前还不算丰富的第三方SV的开源库,在svlib库的开发过程中,开发者提到了用于SV单元测试的插件SVUnit。单元测试在软件敏捷(agile)开发领域已经很普遍了,而随着SV开发的软件特性越来越浓,这使得软件开发领域的一些思想西风东渐不再稀奇。 单元测试(Unit testing)是将一个完整的模块 ...
3072 次阅读|0 个评论
分享 SV及UVM高级话题篇之二:SystemVerilog开源公共库(下)(续)
路科验证 2018-6-29 18:52
tree_node和route_node在排序中经常会用到,因此如果读者需要构建图(diagram),用tree或者route模式,那么可以考虑使用这两种类和随后配套的tree和route类来构建图。 对于aggregate类集合中,按照存放数据的方式,又可以分为packed_array、unpacked_array、dynamic_array和queue、data_stream和bit_stream。下面是这 ...
1801 次阅读|0 个评论
分享 SV及UVM高级话题篇之二:SystemVerilog开源公共库(下)
路科验证 2018-6-29 18:50
SV开源库之二:cluelib cluelib也是一个开源库,它来自于ClueLogic公司。而它的开发者也在其介绍cluelib的论文中谈到,SV缺少的底层函数之所以目前开源地较少,归结于几个现实因素: 大多数的verifier缺少时间去开发一个完整的底层库。 开发一个稳定的库需要花足够多的时间去测试。 去定义一致的、可配 ...
1436 次阅读|0 个评论
分享 SV及UVM高级话题篇之一:SystemVerilog开源公共库(上)(续2)
路科验证 2018-6-29 18:48
// Write the new DOM to a .INI file fi = new; fi.serialize("dst.ini", dom); 上面的例码首先解析了YAML文件格式,继而将配置信息存储到DOM结构对象中,稍后对其进行数据修改,并将更新后的数据再输出为.ini格式。存储到dst.ini文件的数据内容如下: scalarInt=42 scalarInt=1234 scalarS ...
1998 次阅读|0 个评论
分享 SV及UVM高级话题篇之一:SystemVerilog开源公共库(上)(续)
路科验证 2018-6-29 18:46
在得到了文件列表之后,我们可以通过svlib提供的更多函数将与文本状态信息获取,继而做更多的操作。例如下面的例码中,通过file_mTime来提供任何一种文件最后修改的日期,同时将这一日期按照更易读的形式通过函数sys_formatTime取得转化。 longint mostRecentTime = sys_dayTime() – 24*60*60; string mostRecentF ...
2842 次阅读|0 个评论
分享 SV及UVM高级话题篇之一:SystemVerilog开源公共库(上)
路科验证 2018-6-29 18:41
伴随着SV推广的热浪,SV已经不只是作为一种验证语言流行开来,实际上它同样在早期也作为了一种硬件描述语言和一种通用编程语言得到了应用。在软件编程的过程中,SV同Java一般也有了更为丰富的数据类型和类的相应概念,这种面向对象编程的思想使得一些软件工程师在“移民”到验证领域时的阵痛期并不会太久,但随后他们会感 ...
3768 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-29 03:39 , Processed in 7.774169 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部