在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 Testbench/Agent
ee_king 2018-9-17 17:10
可以将UVM代理视为特定逻辑接口的验证组件工具包。agent被发展 为一个package,其中包括用于连接到DUT信号引脚的SystemVerilog接口,以及包含构成整个agent组件的类的SystemVerilog package。agent 类本身是driver、sequencer和monitor的顶层 ...
694 次阅读|0 个评论
分享 Testbench/Component
ee_king 2018-9-17 16:15
UVM测试平台是由uvm_component基类扩展来的组件objects构建的。 创建uvm_component object时,它将成为测试平台层次结构的一部分,该层次结构在仿真期间保持不变。 这与uvm类层次结构的sequence分支形成对比,其中objects是瞬态的 - 它们被创建,使 ...
789 次阅读|0 个评论
分享 Diodes车规60V 降压, 升压 & DC-DC电源芯片 升降压LED驱动方案
jgxdz 2018-9-17 15:52
【产品内容】 符合车规标准 高精度LED调光控制:1%的高精度调节光亮比列 多种拓扑选择:降压,升压,升降压,只要调节一个合适的参考电阻 LED灯温度检测控制电流 【规格说明】 Diodes的 ZXLD1371Q 提供可在降压、升压或降压升压模式中运作的能力。降压升压模式可有效因应随着驱动 LED 灯条所需 ...
1719 次阅读|0 个评论

本页有 7 篇日志因作者的隐私设置或未通过审核而隐藏

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-1 23:11 , Processed in 0.354268 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部