子幽墨雨的个人空间 https://blog.eetop.cn/588930 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

在VCS 下面升级UVM1.1 lib库

已有 4725 次阅读| 2013-3-11 02:23 |个人分类:UVM associate

uvm_ver = uvm-1.0

vcs -sverilog -ntb_opts ${uvm_ver} -timescale="1ns/100ps" -l comp.log -debug_all +vcs+vcdpluson $(DUT) $(HARNESS_TOP) $(TB_TOP) +define+${defines}
可能出现编译说 uvm_pkg引用问题;

我是在使用VCS 2012.09版本的时候,或者其它版本如果遇到 ntb_opts + (vmm uvm rvm)等等一些库的版本失效时;可以用如下办法进行解决;

  在 bashrc里面设置如下环境变量:

  export VCS_UVM_HOME="/home/veriman/project/ces_uvm-1.1_2011.12/uvm-1.1a/src/"
 
  设置以后该问题消失;如果以后想要升级新的UVM库 uvm1.2 2.0 etc...同样修改刚刚那个环境变量的设置,可以更新vcs编译库的版本;
 
 

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 1

    获赞
  • 2

    评论
  • 452

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 06:31 , Processed in 0.013373 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部