njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

异步复位,同步解复位

已有 2321 次阅读| 2012-1-3 20:56 |个人分类:FPGA_Verilog

//异步复位,同步解复位

module rst_ctrl
    (
    input           clk,
    input           rst,
    input           data_in,

    output  reg     data_out
    );

//****************************************
//proc rst, Asynchronous Assertion, Synchronous Deassertion
reg         rst_dly1;
reg         rst_dly2;
reg         rst_prc;
always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
    begin
        rst_dly1 <= 1'b1;
        rst_dly2 <= 1'b1;
    end
    else
    begin
        rst_dly1 <= 1'b0;
        rst_dly2 <= rst_dly1;
    end
end

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        rst_prc <= 1'b1;
    else
        rst_prc <= rst_dly2;
end
//****************************************

always @ (posedge clk or posedge rst_prc)
begin
    if (rst_prc == 1'b1)
        data_out <= 1'b0;
    else
        data_out <= data_in;
end

endmodule


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 02:53 , Processed in 0.013146 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部