njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

square root

已有 906 次阅读| 2012-1-2 22:27 |个人分类:FPGA_Verilog

`timescale 1ns/1ns
module square_root
    (
    input                   clk,
    input                   rst,

    input                   load,
    input           [23:0]  data_in,
    input                   load_sqrt,

    output  reg     [11:0]  data_out

    );

reg     [25:0]  data_in_shift;
wire    [13:0]  r_sub;
wire    [13:0]  r_add;
wire    [13:0]  r_q;
reg     [13:0]  r;
reg     [13:0]  q;

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        data_in_shift <= 26'd0;
    else if (load == 1'b1)
        data_in_shift <= {data_in,2'd0};
    else
        data_in_shift <= data_in_shift << 2;
end

assign r_sub = {r[11:0],data_in_shift[25:24]} - {q[11:0],2'b01};
assign r_add = {r[11:0],data_in_shift[25:24]} + {1'b0,q[10:0],2'b11};
assign r_q = (r[13] == 1'b1)? r_add: r_sub;

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        r <= 14'd0;
    else if (load == 1'b1)
        r <= 14'd0;
    else
        r <= r_q;
end

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        q <= 14'd0;
    else if (load == 1'b1)
        q <= 14'd0;
    else if (r_q[13] == 1'b1)
        q <= {q[12:0],1'b0};
    else
        q <= {q[12:0],1'b1};
end

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        data_out <= 12'd0;
    else if (load_sqrt == 1'b1)
        data_out <= q[12:0];
    else ;
end

endmodule


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 19:10 , Processed in 0.013142 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部