njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

格雷码与二进制码的互相转换

已有 778 次阅读| 2012-1-1 21:10 |个人分类:FPGA_Verilog

module bin2gray
    #(
    parameter SIZE = 8, // this module is parameterizable
    )
    (
    input   [SIZE-1:0]  bin,
    output  [SIZE-1:0]  gray
    );

genvar i;
generate
    for (i=0; i<SIZE; i=i+1)
    begin:bit
        if (i == SIZE-1)
            assign gray[i] = bin[i];
        else
            assign gray[i] = ^bin[i+1:i];
    end
endgenerate

endmodule

module gray2bin
    #(
    parameter SIZE = 8, // this module is parameterizable
    )
    (
    output  [SIZE-1:0]  bin,
    input   [SIZE-1:0]  gray
    );

genvar i;
generate
    for (i=0; i<SIZE; i=i+1)
    begin:bit
        assign bin[i] = ^gray[SIZE-1:i];
    end
endgenerate

endmodule


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 18:34 , Processed in 0.014494 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部