|
首先需要安装modelsim和debussy两个软件
其次建立下面的mds.bat文件
/////////////////////// mds.bat ////////////////////////////////////////
vlib work
vlog -vlog01compat -work work -f rtl.f
vsim -c -hazards work.test -pli D:/Novas/Debussy/share/PLI/modelsim_pli/WINNT/novas.dll -do "run -all; exit"
////////////////////////mds.bat /////////////////////////////////////////
注意:上面D:/Novas/Debussy/就是debussy所安装的目录
rtl.f是仿真文件里表
然后在testbench里面添加下面几句话来dump波形
initial begin
$fsdbDumpfile("rtl.fsdb");
$fsdbDumpvars(0, test);
end
最后运行mds.bat完成仿真。