zhaijt_123的个人空间 https://blog.eetop.cn/44376 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

FPGA设计中毛刺问题的研究

已有 2809 次阅读| 2006-9-8 10:17

天气: 晴朗
心情: 郁闷
 

FPGA设计中毛刺问题的研究


作者:陆维佳 潘玉    来源:    时间:2006-6-26    被阅读次数:2


??摘要:毛刺现象在FPGA设计中非常普遍,而毛刺的出现往往导致系统结果的错误。本文从FPGA的原理结构的角度深入探讨了毛刺产生的原因及产生的条件,总结了多种不同的解决方法,并结合具体的应用对解决方案进行深入地分析。

关键词:FPGA;毛刺;采样;竞争冒险

FPGA(Field Programmable Gate Array)以其容量大、功能强以及可靠性高等特点,在现代数字通信系统中得到广泛的应用。采用FPGA设计数字电路已经成为数字电路系统领域的主要设计方式之一。在FPGA的设计中,毛刺现象是长期困扰电子设计工程师的设计问题之一,是影响工程师设计效率和数字系统设计有效性和可靠性的主要因素。由于信号在FPGA的内部走线和通过逻辑单元时造成的延迟,在多路信号变化的瞬间,组合逻辑的输出常常产生一些小的尖峰,即毛刺信号,这是由FPGA内部结构特性决定的。毛刺现象在FPGA的设计中是不可避免的,有时任何一点毛刺就可以导致系统出错,尤其是对尖峰脉冲或脉冲边沿敏感的电路更是如此。因此,克服和解决毛刺问题对现代数字系统设计尤为重要。本文从FPGA的原理结构的角度探讨了产生毛刺的原因及产生的条件,在此基础上,总结了多种不同的消除方法,在最后结合具体的应用对解决方案进行深入的分析。

1 毛刺产生的原因
 
以图1的例子分析毛刺产生的起因:1是一个3位同步加法计数器,当使能端为高电平时,在每个时钟上升沿时刻QAQBQC000逐步变到111,进入到全1状态后,进位输出端输出半个时钟脉冲宽度的高电平,但从图2仿真结果中可以看到在011变化到100时刻ROC出现了尖脉冲,即毛刺。

1 3位计数器

2 仿真结果

XilinxFPGA为例分析其内部结构,如图3所示。

3 FPGA的内部结构

FPGA芯片是由可构造的输入输出块(Input/OutputBlock,IOB)、可构造逻辑块(Cinfigurable Logic Block,CLB)和可编程连线资源(Programmable Interconnect Array,PIA)3种可构造单元构成的。IOB位于芯片内部四周,在内部逻辑阵列与外部芯片封装引脚之间提供一个可编程接口,他主要由逻辑门、触发器和控制元组成。CLB组成了FPGA的核心阵列,能完成用户指定的逻辑功能;每个CLB主要有一个组合逻辑、几个触发器、若干个多选一电路和控制单元组成;PIA位于芯片内部的逻辑块之间,经编程后形成连线网络,用于芯片内部逻辑间的相互连接,并在他们之间传递信息。从图3中可以看出,对于不同的输入12,到逻辑块(M)的走线可能是不同的,这就造成了输入信号的延迟,假设12同时变化,由于延迟的存在,到达M时就有先有后(这种现象称为竞争),在逻辑输出端就可能会有险象(也称冒险),即产生了毛刺。在上述例子中的011状态,假设QAQB同时从1变化到0,QC提前了2ns0变到1,产生一个2ns的高电平,这就是毛刺。也就是说,FPGA设计中,毛刺产生的根本原因是信号在芯片内部走线时产生的延迟。

2 毛刺产生的条件
 
借助同样的例子来分析毛刺产生的条件。QA,QB,QC在每一个时钟上升沿同时发生翻转,但实际中由于延迟的存在,并不能保证QA,QB,QCD触发器的布线长短一致。如果QCQA,QB提前了2ns,这就形成了2ns的全1状态,称为“假象全1,进而导致进位输出产生一个尖脉冲。值得注意的是,3变到4011100,3位中有2位同时发生翻转,即在同一时刻有大于一个的信号发生改变。由于延迟的作用,多个信号到达终点的时间有先有后,形成了竞争,由竞争产生的错误输出就是毛刺。所以,毛刺发生的条件就是在同一时刻有多个信号输入发生改变。

3 消除毛刺的方法

知道了毛刺产生的条件,就可以通过改变设计,破坏其条件来减少毛刺的发生。例如,利用格雷码计数器每次输出只有一位跳变的特性,代替普通的二进制计数器,避免了毛刺的产生。还可以对电路进行改进,以消除毛刺对系统的影响。下面对各种方法做分别介绍:

3.1 利用冗余项法
利用冗余项消除毛刺有2种方法:代数法和卡诺图法,两者都是通过增加冗余项来消除险象,只是前者针对于函数表达式而后者针对于真值表。以卡诺图为例,若两个卡诺圆相切,其对应的电路就可能产生险象。因此,修改卡诺图,在卡诺图的两圆相切处增加一个圆,以增加多余项来消除逻辑冒险。但该法对于计数器型产生的毛刺是无法消除的。

3.2 采样法
由于冒险多出现在信号发生电平跳变的时刻,即在输出信号的建立时间内会产生毛刺,而在保持时间内不会出现,因此,在输出信号的保持时间内对其进行采样,就可以消除毛刺信号的影响,常用的采样方法有2:一种使用一定宽度的高电平脉冲与输出相与,从而避开了毛刺信号,取得输出信号的电平值。这种方法必须保证采样信号在合适的时间产生,并且只适用于对输出信号时序和脉冲宽度要求不严的情况。另一种更常见的方法叫锁存法,是利用D触发器的输入端D对毛刺信号不敏感的特点,在输出信号的保持时间内,用触发器读取组合逻辑的输出信号。由于在时钟的上升沿时刻,输出端Q=D,当输入的信号有毛刺时,只要不发生在时钟的上升沿时刻,输出就不会有毛刺。这种方法类似于将异步电路转化为同步电路,实现简单,但同样会涉及到时序问题。

3.3 吸收法
由于产生的毛刺实际上是高频窄脉冲,故增加输出滤波,在输出端接上小电容C就可以滤除毛刺。但输出波形的前后沿将变坏,在对波形要求较严格时,应再加整形电路,该方法不宜在中间级使用。

3.4 延迟法
因为毛刺最终是由于延迟造成的,所以可以找出产生延迟的支路。对于相对延迟小的支路,加上毛刺宽度的延迟可以消除毛刺。但有时随着负载增加,毛刺会继续出现,而且,当温度变化,所加的电压变化或要增加逻辑门时,所加的延迟是不同的,必须重新设计延迟线,因而这种方法也是有局限性的。而且采用延迟线的方法产生延迟会由于环境温度的变化而使系统可靠性变差。

3.5 硬件描述语言法
这种方法是从硬件描述语言入手,找出毛刺产生的根本原因,改变语言设计,产生满足要求的功能模块,来代替原来的逻辑功能块。在图1电路中,一个3位计数器可能会在011100101110发生跳变时产生毛刺,究其原因是因为一次有2位发生跳变,可以采用VHDL语言对计数器编写如下,产生的计数模块代替原来普通的计数器。
subdesignmodcount
 (clk,reset:input;q[2110]:output;)
variable
counter3
:MACHINEOFBITS(r[2110])
 WITHSTATES(r0=B"101",
r1=B"100",
r2=B"000",
r3=B"001",
r4=B"011",
r5=B"010",
r6=B"110",
r7=B"111");
 begin
  q[ ]=rr[ ];
counter31reset=reset;
counter31clk=clk;
CASEcounter3IS
  whenr0=>counter3=r1;
  whenr1=>counter3=r2;
  whenr2=>counter3=r3;
  whenr3=>counter3=r4;
  whenr4=>counter3=r5;
  whenr5=>counter3=r6;
   whenr6=>counter3=r7;
  whenr7=>counter3=r0;
ENDCASE;
end;

注意在新的计数器中,每次状态发生改变时


点赞

发表评论 评论 (2 个评论)

Guest 2007-4-9 13:45
http://e7258000afcd52c54daf741d9ee17669-t.rh5t5bf.info <a href="http://e7258000afcd52c54daf741d9ee17669-h.rh5t5bf.info">e7258000afcd52c54daf741d9ee17669</a> [url]http://e7258000afcd52c54daf741d9ee17669-b1.rh5t5bf.info[/url] [url=http://e7258000afcd52c54daf741d9ee17669-b2.rh5t5bf.info]e7258000afcd52c54daf741d9ee17669[/url] [u]http://e7258000afcd52c54daf741d9ee17669-b3.rh5t5bf.info[/u] 0c4df95de00b5aa0f800bcc958a3b7df
Guest 2007-5-1 09:35
http://0355b95bd71856107a42bee232640dc3-t.k90u0h.info <a href="http://0355b95bd71856107a42bee232640dc3-h.k90u0h.info">0355b95bd71856107a42bee232640dc3</a> [url]http://0355b95bd71856107a42bee232640dc3-b1.k90u0h.info[/url] [url=http://0355b95bd71856107a42bee232640dc3-b2.k90u0h.info]0355b95bd71856107a42bee232640dc3[/url] [u]http://0355b95bd71856107a42bee232640dc3-b3.k90u0h.info[/u] 86b4eaaaf8201e29470f084ce1d2d695

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 1

    获赞
  • 11

    评论
  • 98

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 09:17 , Processed in 0.016386 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网