| |
标签: 无标签
modelsim 可以写成.tcl 文件,然后在modelsim中source即可。
***********************************************************************************************************
set path D:/Work/
cd $path/script
vlib work
vmap work work
source compile.tcl
vsim -coverage +notimingcheck +nospecify work.testbench
view source
view structure
view signals
run 100ns
stop
***********************************************************************************************************
compile.tcl:
***********************************************************************************************************
vlog -reportprogress 300 -work work {../../code/1.v} -cover bcesx
vlog -reportprogress 300 -work work {../../code/2.v} -cover bcesx
刚才在网上找到了解决办法,试了一下没有问题,有两种办法设置PLI
1. 把文件 C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll 复制到如路径下 C:\modelsim\win32\
然后在路径 C:\modelsim\ 下修改文件 modelsim.ini, 在如下语句:
; List of dynamically loaded objects for verilog PLI applications
; Veriuser = veriuser.sl
后面加入语句 Veriuser = novas.dll
2. 不复制文件,直接在路径 C:\modelsim\ 下修改文件 modelsim.ini, 加入
Veriuser = C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll
以上所有路径均与安装路径有关,请注意!
dump 波形的方法就是在testbench里加入如下语句,设置好文件名,dump的深度,top module,然后使用modelsim跑起来即可
initial
begin
$fsdbDumpfile("1.fsdb");
$fsdbDumpvars(0, testbench);
end