tjaiwxc的个人空间 https://blog.eetop.cn/bitworm [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

郁闷了一周的问题

已有 1159 次阅读| 2015-11-14 23:59 |个人分类:SystemC

最近一周一直在忙活,算法理解、数据结构抽取、接口同步机制的定义,一直以为把纯SystemC设计导入Questasim10.2c没有什么问题。没想到上手一试,才发现好像没有我想的那么简单,两个编译运行环境都通了(GNU g++/makefile和Eclipse),就差这个了,郁闷中......
问题描述如下:
拿项目中的一个模块SystemC代码试验,所有的class都分为两个文件(.h和.cpp),除了sc_main.cpp之外。因为这个模块的仿真环境和模型都是单纯的SystemC文件,所以直接用questasim进行编译链接
sccom -g -I ../opengl/include sc_main.cpp
sccom -link
前两步执行都顺利通过,当执行vsim -c sc_main时就郁闷了。

QuestaSim>vsim -c sc_main
# vsim -c sc_main 
# ** Note: (vsim-3812) Design is being optimized...
# ** Error: (vopt-3197) Load of "/home/wxcheng/workspace/esl/system4.0/temp/work/_sc/linux_x86_64_gcc-4.5.0/systemc.so" failed: /home/wxcheng/workspace/esl/system4.0/temp/work/_sc/linux_x86_64_gcc-4.5.0/systemc.so: undefined symbol: _ZN4Host5helloEv (demangled name: Host::hello()).
# ** Error: (vopt-3676) Could not load shared library /home/wxcheng/workspace/esl/system4.0/temp/work/_sc/linux_x86_64_gcc-4.5.0/systemc.so for SystemC module 'sc_main'.
# ** Error: Failed to find design unit work.sc_main.
# Optimization failed
# Error loading design

问题解决不了,项目后期就无法将RTL代码嵌入到TLM模型中进行验证~

questasim10.2c的example都看了,把mentor的帮助文档也查了一下,文档说对于纯systemc设计,可以将顶层直接制定成sc_main,不用再包裹顶层。文档说这种错误可能是使用了其他版本的systemc库,可我真没有指定到systemc-2.3.1/lib-linux64下呀~
本人又写了一个简单的例子,只有一个.h和sc_main.cpp,倒是可以编译通过~
索性将设计中所有cpp的内容放置在相应的.h中,用questasim重新吃了一遍,问题消失!我真不想将类的实现部分放在.h中,真心不想~
继续郁闷ing

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 1579

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 22:01 , Processed in 0.016454 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部