guantouren的个人空间 https://blog.eetop.cn/24326 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

FIFO的使用注意

已有 1832 次阅读| 2011-11-10 01:26 |个人分类:Quartus II

一个最简单的FIFO如下图:

左图包括数据输入data[7:0],输出q[7:0],写请求wrreq,读请求rdreq,时钟clockFIFO满标志位full,有的还有FIFO空标志位empty,其时序图如下:

 

 

 

需要注意的是写请求wrreq必须配合数据输入data,只有在有数据输入时才能把wrreq拉高,否则会在时钟clock的作用下吧无效的数据输入到FIFO

FIFO满时,full=1,此时一旦有一个数据被读出,FIFO里面数据就少了一个,full马上变为0

   

counter第一次计到FF时,因为计到1就是有1个计到FF就是255个,所以计到FF再返回0才是256个计数,然后当计到256个计数时data_out自加1后变为1有了数据就马上把写请求wr_req1,在CLK的作用下数据就会被写入到FIFO

    上图是FIFO计满后的状态变化。

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 1

    获赞
  • 2

    评论
  • 465

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 02:37 , Processed in 0.026524 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部