qq27303272的个人空间 https://blog.eetop.cn/221538 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

system verilog变量类型——logic

已有 1932 次阅读| 2012-8-29 22:11

        system verilog在verilog的基础上引入了logic类型,这种类型可以算得上是对reg类型的加强,使得它除了作为一个变量以外,还可以被连续赋值,门单元和模块所驱动。任何使用线网类型的地方均可以使用logic类型,但是与wire不同的是,logic不能有多个驱动,因此,对双向总线建模应该使用wire,而不能用logic。
        由于logic只能有单个驱动,因此可以用来查找网单中的漏洞,把所以信号都声明成logic类型,如果出现多个驱动时,编译器会报错,这样可以避免发生不想要的错误。

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 7

    获赞
  • 2

    评论
  • 1668

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 08:12 , Processed in 0.016095 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部