| |
使用In-System Sources&Probes进行调试
(来源:http://blog.ednchina.com/coyoo/247555/message.aspx)
之前写过如何使用QII中In-System Memory Content Editor,今天使用了一下In-System Sources&Probes,总结一下使用体会。
顾名思义,在系统源和探测器主要包含两部分,一是驱动源,二是探测器。经由Jtag口该工具通过探测器(Probes)最多可以观察256个信号的状态, 通过源(source)可以最多驱动256个信号源。与SignalTapII或者ISMC一样可以在Instance Manger中例化多个Instance,根据器件的资源多寡,最多可以例化128个Instance。
与SignalTapII一样,无需外部测试设备支持,连接Jtag即可,不过该工具同时支持FPGA和CPLD。使用In-System Sources& Probes可以进行设计的功能调试。
应用场合:
1、可以利用该工具输入虚拟的拨码、按钮等控制信号,甚至虚拟复位信号;当系统还不完整的时候利用该工具可以模拟众多的输入激励。
2、监测设计更改后的变化结果。
3、扩展了Tcl的某些应用,比如动态配置等。
4、可以给SignalTapII强加某些Trigger。
使用In-System Sources & Probes的步骤:
1、首先利用MegaWizard创建In-System Sources & Probes Megafunction;
2、在设计中例化并编译;
3、下载到器件;
4、创建并使用In-System Sources & Probes Editor(.spf文件)来控制“sources”和“Probes”。
下面图示各个步骤:
1、创建In-System Sources & Probes Megafunction
该兆核函数位于Jtag-accessible Extensions下
兆核函数的主要参数设置:
兆核函数高级选项设置:
2、在设计中例化,下面是一个实例:
component sources_probes
port
(
probe : in std_logic_vector (13 downto 0);
source : out std_logic
);
end component;
-------------------------------------------------------------------------
sources_probes_inst : sources_probes port map (
probe => one_seg_sig & ten_seg_sig,
source => source_sig_reset_n);
3、下载就不必介绍了
4、创建In-System Sources & Probes Editor
在Tools菜单下,和ISMC以及SiganlTapII的位置在一起,启动Editor如下所示:
下图是Instance Manager:
每个源可以通过鼠标单击改变,可以从0到1或者从1到0改变,如下图所示:
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