lijiasen.xy的个人空间 https://blog.eetop.cn/1778649 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

后端中qrc文件简介(一)

热度 16已有 6733 次阅读| 2022-3-16 10:53 |个人分类:后端EDA-cadence|系统分类:芯片设计

qrc及抽取寄生电容和电阻,用过电容和电阻可以计算出delay,可用于STA

不同的EDA工具使用的文件有些不同,目前大致的了解如下:

代工厂会优先提供一个工艺描述文件.ict/.itf,分别对应encounterC家)和iccS家),后端的工程师可以使用此文件生成自己使用工具对应的的rc文件,2D模型的captable/tluplus文件和3D模型的qrctechfile/nxtgrd文件,两种不同模型的文件在抽取寄生时的精度不同,后者精度更高一些,为signoff流程的要求。所以一般在pr之后使用抽取寄生的专用工具,导入相关文件之后抽取rc,吐出spf寄生文件。

encounter内部的extractRC工具与stratRC抽取工具相差不大。

l  .ict文件生成.captable文件:

generateCapTbl -lef tech.lef -ict LIB/ICT/qrc_min.ict -output LIB/captable/cmin.captbl

generateCapTbl -lef tech.lef -ict LIB/ICT/qrc_typ.ict -output LIB/captable/typ.captbl

generateCapTbl -lef tech.lef -ict LIB/ICT/qrc_max.ict -output LIB/captable/cmax.captbl

注:tech.lef可加可不加

l  .ict文件与.itf文件之间的转换:

perl itf_to_ict.pl HG_tran_max.itf qrc_max.ict

perl itf_to_ict.pl HG_tran_typ.itf qrc_typ.ict

perl itf_to_ict.pl HG_tran_min.itf qrc_min.ict

注:itf_to_ict.pl脚本是EDA工具自带的,在share的文件夹中,使用方法如上

l  ict文件生成qrc.tech

Techgen -cell -plan HG_tran_max.ict

Techgen -cell -parallel -autoconcat HGtran_max.ict qrc_cmax.tech

 

Techgen -cell -plan HG_tran_min.ict

Techgen -cell -parallel -autoconcat HG_tran_min.ict qrc_cmin.tech

   l  itf文件生成TLUPLUS文件

是通过starc工具,直接在终端中使用即可

grdgenxo -itf2TLUPlus -i StarRC.itf -o StarRC_MAX.TLUPlus



14

点赞

刚表态过的朋友 (14 人)

发表评论 评论 (5 个评论)

回复 JAYCHOUFX 2022-9-13 17:05
楼主你好,我按照这样生成的qrc文件给voltus用,voltus说是无效的。。
回复 wzh27 2022-10-5 03:27
JAYCHOUFX: 楼主你好,我按照这样生成的qrc文件给voltus用,voltus说是无效的。。
你试一下用techgen -simulation 然后techgen -compile选项,生成的文件会大一些,跑的时间也很久,但是应该可以用
回复 blaton 2023-7-21 18:09
谢谢楼主,非常棒的资料。请问可以直接让厂家提供captable吗
回复 lijiasen.xy 2023-11-3 16:38
JAYCHOUFX: 楼主你好,我按照这样生成的qrc文件给voltus用,voltus说是无效的。。
不好意思现在才看见,是qrcTechFile无效吗
回复 lijiasen.xy 2023-11-3 16:40
blaton: 谢谢楼主,非常棒的资料。请问可以直接让厂家提供captable吗
可以的,不过自己生成也很简单,老工艺好多不提供了

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 8

    总排名
  • 6

    关注
  • 73

    粉丝
  • 34

    好友
  • 125

    获赞
  • 177

    评论
  • 1086

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 15:03 , Processed in 0.016306 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部