Iaybf的个人空间 https://blog.eetop.cn/158575 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Vivado学习笔记_002

热度 1已有 14597 次阅读| 2013-7-9 18:28 |个人分类:学习笔记

经过几天的试用逐渐熟悉了vivado,和ISE相比vivado确实有了很多改良。
发现了以下几个特点:

1.数据格式统一了
在以往的设计中,保存数据的格式非常多。ISE有很多种格式的文件,在translate,map和par过程文件格式多.ncd,.pcf,ngd,ngr等,而有vivado中,每个步骤文件格式都统一成.dcp。在每一个阶段完成后都可以保存一个checkpoint的.dcp文件。

2.contraint文件采用了SDC格式文件
在ISE中约束文件为自定义的UCF格式,和工业标准SDC有很大区别,而在vivado中采用XDC文件格式,兼容通用的SDC标准。适应了技术潮流。

3.vivado中弱化了Project
这是一个很不错的改进。我们在不用建立工程就能完成FPGA的综合,布线,生成bit。如果我们采用tcl脚本,配合这种功能,可以使开发变得非常地灵活。


4.vivado的ECO和TCL脚本功能强大
在ISE中FPGA Editor可以在布线完成后,完成PAD修改,探测某信号线,还用进行Chipscope中ILA的修改。而vivado中增强了这部分功能,在更接近asic设计。TCL脚本更完备,大部分工作都可以tcl下进行。

对一个flip-flop进行位置更改的ECO
startgroup
place_cell {q_reg[2]} SLICE_X3Y2/C5FF
endgroup

read_checkpoint -incremental old_post_route.dcp
report_incremental_resue
route_design



一个没有工程的vivado脚本:

#Assemble the Design Source files
read_verilog [glob ../src/*.v]
read_vhdl [glob ../src/*.vhd]
read_edif ../netlist/black_blox.edf
read_xdc ../constraint/top.xdc

#Run Synthesis and Implementation

synth_design -top top -part xc7k70tfbg676-2
write_checkpoint -force post_synth.dcp
opt_design
place_design
write_checkpoint -force post_place.dcp
route_design
write_checkpoint -force post_route.dcp

#Generate Reports
report_timing_summary -file timing_summary.rpt
.....

#Generate Bit File
write_bitstream -file top.bit



1

点赞

刚表态过的朋友 (1 人)

全部作者的其他最新日志

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 1

    获赞
  • 1

    评论
  • 302

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-18 04:15 , Processed in 0.014505 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部