mervin_li的个人空间 https://blog.eetop.cn/1582944 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

数字后端之DFT相关学习1

热度 1已有 219 次阅读| 2024-8-19 17:47 |个人分类:后端项目经验|系统分类:芯片设计

DFT全称Design For Test,即可测试性设计。对应于芯片的主功能Function设计,DFT是用来在芯片设计制造过程中进行可行性测试的,确保高效快速的测试芯片的功能是否正常。因此,DFT的特点就是覆盖率高,测试效率高。

DFT设计都包含哪些内容呢?1,测试电路的插入(insert dft, insert dft chain);2. 测试向量的生成(generate ATPG pattern);3. 测试序列应用 和4. 故障模型设计等。主流DFT 工具有Mentor公司的Tenssent,Synopsis的DFT Complier,Cadence的Modus等。

在设计阶段,DFT工作需要从RTL开始,对存储单元memory进项插入DFT逻辑,即做完mem bist的功能。然后在综合之后的网表上进行scan chain的串接。然后生成对应的dft的sdc,包括mbist sdc,capture sdc, shift sdc等,还有scan def,dont touch list, ignore list(用来调整时钟树综合的spec)。这里的igore list就是给定哪些reg是只是用来enable scan mode的,不需要和core里的func 的reg去做balance。方便后面长tree,避免一些非critical的path挡住时序分析。

然后在P&R阶段,place之后,工具会自动做个scan chan的reoder,使之更符合place的位置顺序,方便后续的时序收敛。当然,这里又涉及到scan reoder的策略,是chain内reorder还是chain间reorder?需要配合dft验证工程师来决定。

在测试阶段,需要用到ATE(Automatic Test Equipment)测试基台,根据之前生成的ATPG测试向量,进行功能测试。这里的测试效率取决于ATE基台的频率有多高,频率高测试时间短,价格也就高。

为了更好的完成后端工作,需要前后贯通,不求成为专家,也得熟悉相关的合作知识点。开始DFT学习之旅。

1

点赞

刚表态过的朋友 (1 人)

发表评论 评论 (1 个评论)

回复 jeannyhuang 2024-8-20 20:15
感謝好文分享

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 8

    月排名
  • 0

    总排名
  • 0

    关注
  • 159

    粉丝
  • 60

    好友
  • 207

    获赞
  • 61

    评论
  • 3277

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-19 07:38 , Processed in 0.013248 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部