mervin_li的个人空间 https://blog.eetop.cn/1582944 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

<数字后端项目>clock tree平衡法则笔记--Htree

热度 4已有 2008 次阅读| 2023-2-2 16:37 |个人分类:后端项目经验|系统分类:芯片设计

背景:传统的cts的实现靠对各个sink点做balance实现,考虑到ocv的影响,最终会有skew的margin吃不到,对于高性能芯片,这部分的余量就显得尤为珍贵。这时候,几种不同的结构的时钟树应运而生。区别于工具自动做的传统时钟树,常见的三种应用于高性能设计时钟树结构有:Htree,Fishbone,clock mesh。从实现角度来说,Htree更容易实现,且性能改善明显,加上EDA工具算法的改进,已经可以自动完成Htree的搭建,所以Htree目前应用更广泛。

Hree简介:

顾名思义,就是clock tree的形状类似H形,从root点对称分支,分支再对称分,形成一级一级H状的tree,尽头设置专用的buffer作为tap点,每个tap点再挂对应的sink,这样就尽可能的均匀划分出一堆一堆的sink,保证最大程度的skew最优。而H形的主干可以采用高层NDR绕线,尽量保证trunk的latency最小,甚至可以采用big buffer作为驱动的tap,进一步减少延迟。

Htree的实现:

早期Htree的实现是需要工程师规划区域,写脚本完成tap点的插入以及trunk的绕线,也就是需要熟悉clock的分支情况,然后addInst,attachTerm等命令结合,在floorplan阶段完成Htree的预处理,cts阶段工具会依照规划的Htree结构对各个tap点assign leaf cell,完成时钟树综合。

如今,Cadence的P&R工具Innovus已经可以自动实现这个过程,只需根据设计需求规划好tap点的区域,用命令即可完成之前人工脚本的工作,进一步自动化:

create_ccopt_flexible_htree

synthesis_ccopt_flexible_htrees

具体用法可参见用户手册。

Htree的优势:通过trunk的高层NDR以及大buffer尽量缩短了clock的latency,同时由于对common path进行了预处理,减少了ocv对launch和capture path的影响。

缺点:对于靠近root点附近的sink来说,相当于增加了clock latency的长度,一定程度上增加了clock cell的数量,同事加上大buffer的使用,对于功耗会有所损失。

考虑的实际design的需求,用户可以进行权衡取舍再决定策略。


4

点赞

刚表态过的朋友 (4 人)

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 137

    粉丝
  • 58

    好友
  • 148

    获赞
  • 54

    评论
  • 3153

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-30 00:00 , Processed in 0.014561 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部