mervin_li的个人空间 https://blog.eetop.cn/1582944 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

<数字后端项目>clock tree平衡法则笔记--基本配置

热度 7已有 804 次阅读| 2023-1-16 14:37 |个人分类:后端项目经验|系统分类:芯片设计

天气:晴


对于后端实现来说,尤其是高性能芯片,时钟树综合的质量的重要性不言而喻。在经过不少特殊case的实战应用之后,回过头来再看clock tree的实现过程,又多了一些感悟,所以打算完整梳理一遍clock tree syntesis的流程,作为笔记记录下来,常用常新。

个人经验来看,在做clock tree的时候,会遵循以下几个步骤:

1. 了解时钟结构,画时钟结构图(或前端提供框架,后端确认细节)--clock structure diagram

2. 了解design的基本要求,规划clock tree的config文件  -- cts_config.tcl

3. 生成clock spec,浏览spec,确认特殊点(如果有)的spec是否符合预期 --clock.spec

4. 是否需要特殊的用户配置--user_define_cts.tcl

5. 确定cts策略ccopt_design/ clock_design,以及是否开useful skew

6. trial run,double confirm时钟树的实现是否符合预期,然后进行分析,debug

时钟结构单独整理,今天从基本配置开始梳理,基本配置分为主要几点,NDR配置,buffer list指定,cts相关mode指定:

NDR部分:

  1. add_ndr, 创建几种需要的ndr rule,指定线宽和线间距;

  2. create_route_type,创建route type,指定绕线层和对应的ndr;

  3. 分别指定top,trunk,leaf的route type

    set_db cts_route_type_top xxxNDR

    set_db cts_route_type_trunk xxxNDR

    set_db cts_route_type_leaf xxxNDR

Buffer list部分:

  1. set_db cts_buffer_cells [list ] 指定buffer的list

  2. set_db cts_inverter_cells [list ] 指定inverter的list

  3. set_db cts_clock_gating_cells [list ] 指定gate的list

  4. set_db cts_logic_cells [list ] 指定逻辑单元的list

CTS mode部分:

  1. set_ccopt_mode -cts_target_skew 0.075

  2. set_ccopt_property max_fanout 32

  3. set_ccopt_property max_tansition 0.050

  4. set_db design_top_routing_layer 7

  5. set_db design_top_routing_layer 2

以及useful skew,top、bottom route layer,mix buffer,target skew、skew band size等等,根据design的需要来设置,这块内容比较多,不同的mode会影响工具再cts过程中的行为,后面单独做笔记。不同的mode也会互相影响,这里要注意一个mode优先级的概念,熟悉具体命令的作用以及优先级,防止互相冲突,也有利于debug问题。

下一节笔记整理clock tree过程中的具体step,以及debug的常用思路。

7

点赞

刚表态过的朋友 (7 人)

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 137

    粉丝
  • 58

    好友
  • 152

    获赞
  • 54

    评论
  • 3162

    访问数
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 22:23 , Processed in 0.012532 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部