imicman的个人空间 https://blog.eetop.cn/1518355 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

常用的波形文件(转)

已有 1335 次阅读| 2016-6-21 21:55 |个人分类:验证杂谈

常用的波形文件有如下三种,

1trn文件,Cadence simvision支持,通过如下方式产生

$shm_open(“./wave”) ; //产生你所需要dump 波形的文件夹

$shm_probe(bench_top,”AS”); //bench_top为举例,即你所需要dump的层

$shm_close;

2fsdb文件,debussy/verdi支持,通过如下方式产生

$fsdbDumpfile(“./wave/top.fsdb”);

$fsdbDumpvars(5,bench_top); //bench_top开始,dump 5层;

$fsdbDumpoff;

3vcd文件,该文件通用性更广,可以转换为任意格式,可惜文件太大,产生方式如下,

$dumpfile(“./wave/top.vcd”);

$dumpvars(5,bench_top);

$dumpoff;


当然也可以同时产生,或者通过nc option指定,

譬如在option中增加+TRN_DUMP/+VCD_DUMP/+FSDB_DUMP

.v文件中去解析这样的strings


initial begin

start_dump =10;

stop_dump =3000000;

finish_time=3000100;


#0;

begin

if($test$plusargs(“VCD_DUMP”))

$dumpfile(“./wave/top.vcd”);

if($test$plusargs(“TRN_DUMP”))

$shm_open(“./wave”) ;

if($test$plusargs(“FSDB_DUMP”))

$fsdbDumpfile(“./wave/top.fsdb”);

end

#(start_dump);

begin

if($test$plusargs(“VCD_DUMP”))

$dumpvars(5,bench_top);

if($test$plusargs(“TRN_DUMP”))

$shm_probe(bench_top,”AS”);

if($test$plusargs(“FSDB_DUMP”))

$fsdbDumpvars(5,bench_top);

end

#(stop_dump-start_dump);

begin

if($test$plusargs(“VCD_DUMP”))

$dumpoff;

if($test$plusargs(“TRN_DUMP”))

$shm_close;

if($test$plusargs(“FSDB_DUMP”))

$fsdbDumpoff;

end

#(finish_time-stop_dump);

$stop;

$finish;

end



各种波形文件的打开方式

TRN

simvision ./wave/wave.trn \

-input ../../signal_list/simvision.svcf

FSDB

debussy -f $FileList.f \

-autoalias -ssf ./wave/top.fsdb -top bench_top \

-sswr ../../signal_list/top.rc


VCD

该文件需要被转换为trnfsdb文件,然后打开;

fsdb文件:

vfast ./wave/top.vcd -o ./wave/top.fsdb && \

debussy -f $FileList.f \

-autoalias -ssf ./wave/top.fsdb -top bench_top \

-sswr ../../signal_list/top.rc

trn文件:

simvisdbutil -CVTMVL9 -OVERWRITE -LOGFILE wolf.log -OUTPUT wave.trn top.vcd && \

simvision ./wave/wave.trn \

-input ../../signal_list/simvision.svcf


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 8

    获赞
  • 34

    评论
  • 访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-1 19:47 , Processed in 0.014739 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部