karyen的个人空间 https://blog.eetop.cn/1394459 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

clk_mux的时钟如何约束

热度 1已有 315 次阅读| 2023-8-30 18:11 |个人分类:DCG 综合|系统分类:芯片设计| 时钟, 分频, mux, 约束

mux出来的时钟,有一个分支经过了2分频,参考一些贴子,目前这么约束的

据说还要把timing_enable_multiple_clocks_per_reg参数set为true,但我查了下,默认就是true,就没加

参考的贴子:

关于过两级mux的时序约束的添加 - 后端讨论区 - EETOP 创芯网论坛 (原名:电子顶级开发网) -

https://blog.csdn.net/zhuangdk/article/details/115092406

请教存在时钟mux情况下,create_generated_clock的问题 - 后端讨论区 - EETOP 创芯网论坛 (原名:电子顶级开发网) -

2.3.4.5.3. set_clock_groups约束技巧 (intel.cn)

image.png


1

点赞

刚表态过的朋友 (1 人)

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 2

    粉丝
  • 1

    好友
  • 26

    获赞
  • 7

    评论
  • 63

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 21:12 , Processed in 0.025833 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部