huszhusz的个人空间 https://blog.eetop.cn/1230214 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

mos常用直流参数解释

热度 14已有 6185 次阅读| 2021-12-1 19:10 |系统分类:芯片设计

1) type:MOS 管类型,可能值为 n 或 p。
2) region:MOS 管的工作区域,可能值为 0~4,分别对应:
表 B- 3 MOS 管工作区域的数字表示
Region 工作区
0 关断
1 线性区
2 饱和区
3 亚阈值区
4 击穿

3) reversed:MOS 管是否反向,可能值为 yes 或 no。
4) ids (A): 阻性漏源电流
5) lx4 (A): ids 的别名,当 MOS 管反向时有相反的符号。
6) lx50 (A):衬源电流。
7) vgs / lx2 (V):栅源电压。
8) vds / lx3 (V):漏源电压。
9) vbs / lx1 (V):衬源电压。
10) vth (V):有效阈值电压。
11) lv9 (V):vth 的别名。
12) vdsat (V):漏源饱和电压。
13) lv26 (V):平带电压(Flat-band voltage)。
14) lv10 (V):vdsat 的别名。
15) gm / lx7 (S):共源跨导。
16) gds / lx8 (S):共源输出跨导。
17) gmbs / lx9 (S):衬底跨导。
18) betaeff (A/V2):有效 β 值。
19) cjd / lx29 (F):漏区衬底结电容。
20) cjs / lx28 (F):源区衬底结电容。
21) lx12 (Coul):衬底电荷(Qb)
22) lx14 (Coul):栅极电荷(Qg)
23) lx16 (Coul):漏区电荷(Qd)
24) lx24 (Coul):漏区 PN 结电荷。
25) lx26 (Coul):源区 PN 结电荷。
26) cgg / lx18 (F):dQg_dVg
27) cgd / lx19 (F):dQg_dVd
28) cgs / lx20 (F):dQg_dVs
29) cgb (F):dQg_dVb
30) cdg / lx32 (F):dQd_dVg
31) cdd / lx33 (F):dQd_dVd
32) cds / lx34 (F):dQd_dVs
33) cdb (F):dQd_dVb
34) csg (F):dQs_dVg
35) csd (F):dQs_dVd
36) css (F):dQs_dVs
37) csb (F):dQs_dVb
38) cbg / lx21 (F):dQb_dVg
39) cbd / lx22 (F):dQb_dVd
40) cbs / lx23 (F):dQb_dVs
519

41) cbb (F):dQb_dVb
42) ron():导通电阻。
43) id / i1 (A):漏端电流。
44) is / i3 (A):源端电流。
45) ibulk / i4 (A):衬底电流。
46) lx5 (A):源端 PN 结电流。
47) lx6 (A):漏端 PN 结电流。
48) pwr (W):处于工作点时的功耗。
49) gmoverid (1/V):Gm/Ids
50) lv36 (F):栅源交叠电容。
51) lv37 (F):栅漏交叠电容。
52) lv38 (F):栅衬底交叠电容。
53) lx10 (S):漏区二极管跨导。
54) lx11 (S):源区二极管跨导。

12

点赞

刚表态过的朋友 (12 人)

发表评论 评论 (2 个评论)

回复 红红的西瓜 2022-12-11 12:31
请问“栅衬底交叠电容”指的是哪里的电容?
回复 J1angX1nbo 2023-12-8 16:09
为什么is源端电流仿真的时候显示NaN呢

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 5

    粉丝
  • 5

    好友
  • 50

    获赞
  • 17

    评论
  • 160

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:47 , Processed in 0.022897 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部