luyuan_0922的个人空间 https://blog.eetop.cn/106870 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 bind sva or something else
2017-7-11 10:11
http://vlsi.pro/sva-basics-bind/ 转帖 SVA Basics: Bind System Verilog Assertions Binding SVA module to design can be done using system verilog bind statement. This is semantically equivalent to instantiation of SVA module. The bind directive can be specified in a module, interfa ...
个人分类: uvm|1912 次阅读|0 个评论
分享 onenote 很好用
2017-7-6 10:19
发现onenote很好用啊。。打算把学习笔记和一些知识性的东西转onenote啊
个人分类: onenote|1141 次阅读|0 个评论
分享 onenote
2017-7-5 19:14
一直以来都觉得自己缺乏管理,缺乏管理概念,经验。onenote是个不错的软件,很好,想要学习一下。 1、收集资料 。onenote是收集资料的利器,你可以将所有自己觉得有用的信息都往里面丢,而且不用 点保存 。当你在互联网上看到一篇资料,使用IE浏览器将资料发送到onenote,它会自动把网址也附上,方便我们日后查看 ...
个人分类: onenote|1508 次阅读|0 个评论
分享 日记
2017-7-5 19:12
看着自己两年前离开sh的帖子,看着自己两年前因为想回家发展而给自己书上写的句句鼓励的话。今天再次来到sh,被迫无奈,心里百感交集。不知是好。想回家,觉得真的想,但是发现自己在家乡难以生计,回到这里一点都没有之前的熟悉感觉,觉得好陌生,还想回,但是我知道,很难回去了。因为自己,家乡快抛弃我了。 ...
1044 次阅读|0 个评论
分享 uvm_resource_db
2017-5-23 14:57
继续转一篇文章 uvm_resource_db (2011-10-17 11:27:04) 转载 ▼ 标签: uvm base resource_db it 分类: uvm preface uvm_resource_db提供了一个访问resource_pool的方便的接口,使得许多使用resource_base需要多行代 ...
个人分类: uvm|3170 次阅读|0 个评论
分享 slave sequence
2017-5-17 15:35
slave sequence, 基本上是作为 responder的。 由于slave sequence 不知道(hard to predict)什么时候需要respond,一般我们都做成无限循环的(lasting-long)的sequence,持续整个simulation,提供response服务。 mentor的解决办法以下两条: • Using a single sequence item • Using a sequence item ...
个人分类: mentor_cookbook|1800 次阅读|0 个评论
分享 uvm_subscriber
2017-5-17 11:33
这是在网上找的uvm_subscriber 的东东: 1.uvm_subscriber里面有一个analysis_export 是analysis_imp 类型的,我们在extends uvm_subscriber 的class 里面需要实现write 函数。 2.这个uvm_subscriber里面只有一个这样的port,而不是多个。 3.好像现在一般大家都喜欢用uvm_analysis_ex/im/port 来代替这个subscriber ...
个人分类: uvm|4119 次阅读|1 个评论 热度 1
分享 uvm_driver 中的组件
2017-2-10 17:28
一般UVM环境中的Driver组件,派生自uvm_driver。 uvm_dirver派生自uvm_component。 class uvm_driver #(type REQ = uvm_sequence_item, type RSP = REQ) extends uvm_component 其中定义了两个Ports:seq_item_port,driver一般用这个 ...
个人分类: uvm|1507 次阅读|0 个评论
分享 sequence and response in UVM
2017-2-10 17:24
UVM为同步sequence, sequencer, driver,提供了强大的握手机制,其中put/get response是为了让driver将transaction发送给DUT后,告诉sequence该笔transaction发送完成。normal的使用方法是在sequence产生transaction,并将其send给driver后执行get_response操作,由于get_response是blocking的,因sequence会一直等到drive ...
个人分类: uvm|3362 次阅读|0 个评论

本页有 1 篇日志因作者的隐私设置或未通过审核而隐藏

1234下一页
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 4

    粉丝
  • 1

    好友
  • 1

    获赞
  • 11

    评论
  • 1002

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-19 16:39 , Processed in 0.028016 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部