fily的个人空间 https://blog.eetop.cn/fengly [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

IC设计中需要知道的会议和期刊

热度 65已有 6528 次阅读| 2022-4-21 09:42 |系统分类:芯片设计| 科普

一、会议-ISSCC,VLSI,CICC,ESSCIRC,RFIC,A-SSCC

  1. ISSCC-IEEE International Solid-State Circuits Conference,国际固态电路会议,是世界学术界和企业界公认的集成电路设计领域最高级别会议,被认为是集成电路设计领域的“世界奥林匹克大会”(顶会,每年仅有200篇左右)

  2. VLSI-IEEE Symposia on VLSI Technology and Circuits,超大规模集成电路研讨会

  3. ESSCIRC-European Solid-State Circuit Conference,欧洲固态电路会议

  4. RFIC-IEEE Radio Frequency Integrated Circuits Symposium,射频集成电路研讨会

  5. CICC-IEEE Custom Integrated Circuits Conference,集成电路会议

  6. ASSCC-IEEE Asian Solid-State Circuits Conference,亚洲固态电路会议

  7. ISCAS-IEEE International Symposium on Circuits and Systems,国际电路与系统研讨会

二、期刊-IEEE JSSC,IEEE SSCL,IEEE TCAS-I,IEEE TCAS-II,IEEE TMTT,IEEE MWCL,IEEE TVLSI,IEEE TbCAS,IEEE Sensor Journal

  1. IEEE JSSC-IEEE Journal of Solid-State CircuitsIEEE固态电路期刊。(顶刊 SCI 1区

  2. IEEE SSCL-IEEE Solid-State Circuits Letters18年创刊,档次很高,只收流片结果,和JSSC有相同的审稿标准,首任主编Behzad Razavi

  3. IEEE TCAS-I-IEEE Transactions on Circuits and Systems I(SCI 2区)

  4. IEEE TCAS-II-IEEE Transactions on Circuits and Systems II(SCI 2区)

  5. IEEE TMTT-IEEE Transactions on Microwave Theory and Techniques(SCI 1区)

  6. IEEE MWCL-IEEE Microwave and Wireless Components Letters(SCI 2区)

  7. IEEE TVLSI-IEEE Transactions on Very Large Scale Integration(SCI 2区)

  8. IEEE TBCAS-IEEE Transactions on Biomedical Circuits and Systems(SCI 2区)

  9. IEEE Sensor Journal-SCI期刊,中科院杂志分区工程:电子与电气分类下的 3 区期刊。

55

点赞

刚表态过的朋友 (55 人)

全部作者的其他最新日志

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 19

    粉丝
  • 4

    好友
  • 95

    获赞
  • 1

    评论
  • 172

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-16 04:10 , Processed in 0.027254 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部