karyen的个人空间 https://blog.eetop.cn/1394459 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

在Modelsim中显示状态机名称

已有 358 次阅读| 2022-7-12 17:02 |个人分类:tcl 脚本|系统分类:芯片设计| modelsim, 状态机, 波形

1. 使用 Virtual Type 创建一个枚举类型,格式如下:

Virtual Type {

{val1 s1}

{val2 s2}

...

{valn sn}

} newVirtualType

其中,val 表示枚举值,s 表示枚举名,newVirtualType 表示新的枚举类型的名称

注意:

virtual type 与 { 之间一定要有空格;

val枚举值的数字中不能出现下划线“_”分隔符,否则会报错!!


2. 使用 vitual function 命令对状态寄存器进行类型转换以生成一个新的信号,例如:
virtual function {(DEMUX_FSM_TYPE)/tb_demux/uut/s_reg} s_state;

注意:

virtual Function用于对原信号进行运算或标记,并可以随之时间更改,格式如下:

virtual function {<expressionStrings>} <name>

其中<expression Strings> 是表达式字符串,即函数的执行功能,<name> 是指定的输出名称

例:如下命令是对一个信号进行取反操作

virtual function {not sim:/tb_demux/uut/wrcache_we} not_wrcache_we


转自:

https://zhidao.baidu.com/question/555214578875892492.html


我的脚本实例:

image.png


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 2

    粉丝
  • 1

    好友
  • 26

    获赞
  • 7

    评论
  • 63

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 19:12 , Processed in 0.012959 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部